{"version":3,"file":"static/js/refractor-prismjs-vendor.0abbb2f3.4ed442e7.js","mappings":"iGAKe,SAASA,EAAEC,GACxBA,EAAMC,UAAUF,EAAI,CAClBG,QAAS,MACTC,OAAQ,CACNC,QAAS,mCACTC,QAAQ,GAEV,mBAAoB,CAGlBD,QAAS,YACTE,MAAO,YAETC,QAAS,qBACTC,SAAU,iBACVC,OAAQ,CACN,kBACA,iFAEFC,QACE,iHACFC,SAAU,qDACVC,YAAa,eAEjB,C,iBA5BAb,EAAEc,YAAc,IAChBd,EAAEe,QAAU,E,iBCGG,SAASC,EAAMf,IAC3B,SAAWA,GACV,IAAIgB,EAAgB,CAClBZ,QAAS,uBACTE,MAAO,UAELW,EACF,6FASEC,EAAY,eAAiBD,EAAOE,OAAS,IAC7CC,EAAQC,OAAOH,EAAY,IAAMA,GAGjCI,EAAY,CACdlB,QAAS,wBACTmB,YAAY,EACZjB,MAAO,YAETN,EAAMC,UAAUc,MAAQ,CACtB,aAAc,CACZX,QAAS,kDACTmB,YAAY,EACZC,OAAQ,CACN,sBAAuB,CACrBpB,QAAS,UACTmB,YAAY,EACZjB,MAAO,YAET,yBAA0B,CACxBF,QAAS,UACTE,MAAO,eAETc,MAAO,CACLhB,QAASgB,EACTI,OAAQ,CACNP,OAAQA,EACR,oBAAqB,CACnBb,QAAS,IACTE,MAAO,cAIb,iBAAkBU,EAClB,WAtCkB,CACtBZ,QAAS,yBACTE,MAAO,cAqCHW,OAAQA,IAGZ,iBAAkBD,EAClB,WA/CY,CACZZ,QAAS,4BACTE,MAAO,cA8CPmB,cAAe,CACb,CAEErB,QAAS,2BACTE,MAAO,WAET,CACEF,QAAS,eACTE,MAAO,UACPkB,OAAQ,CACN,aAAcF,KAIpBI,OAAQ,CACNtB,QAAS,kBACTE,MAAO,YAETW,OAAQA,EACRU,MAAO,CACL,CAKEvB,QACE,8EACFE,MAAO,cACPkB,OAAQ,CACN,aAAcF,IAGlB,CACElB,QAAS,KACTE,MAAO,gBAGXsB,WAAY,CACVxB,QAAS,kCACTE,MAAO,UAETuB,YAAa,CACXzB,QAAS,KACTE,MAAO,WAGZ,CArGA,CAqGEN,EACL,C,iBA3GAe,EAAMF,YAAc,QACpBE,EAAMD,QAAU,E,gDCID,SAASgB,EAAK9B,GAC3BA,EAAM+B,SAASC,EAAAA,GAOd,SAAWhC,GACVA,EAAMC,UAAU6B,KAAO9B,EAAMC,UAAUgC,OAAO,QAAS,CACrD/B,QAAS,CACPE,QAAS,8BACTC,QAAQ,GAEV,aAAc,CACZD,QACE,4EACFmB,YAAY,EACZC,OAAQ,CACNZ,YAAa,UAGjBF,QACE,mSACFC,SACE,4EACFC,YAAa,iBAEfZ,EAAMC,UAAUiC,aAAa,OAAQ,WAAY,CAC/C,eAAgB,CACd9B,QAAS,KACTE,MAAO,iBAGX,IAAI6B,EAAgB,CAClB/B,QAAS,oDACTmB,YAAY,EACZC,OAAQ,CACNY,QAAS,CACPhC,QAAS,uBACTmB,YAAY,EACZC,OAAQxB,EAAMC,UAAU6B,MAE1BO,UAAW,CACTjC,QAAS,WACTE,MAAO,wBAINN,EAAMC,UAAU6B,KAAKQ,SAC5B,IAAIC,EACF,MACA,CACE,oDAAoDpB,OACpD,sDAAsDA,OACtD,sDAAsDA,OACtD,0DAA0DA,OAC1D,kDAAkDA,QAClDqB,KAAK,KACP,IACEC,EACF,sEACGtB,OACLnB,EAAMC,UAAUiC,aAAa,OAAQ,UAAW,CAC9C,gBAAiB,CACf,CACE9B,QAASiB,OACP,KAAKF,OAASoB,EAAoB,mBAAmBpB,QAEvDd,QAAQ,EACRmB,OAAQ,CACNW,cAAeA,EACfpB,MAAO,YAGX,CACEX,QACE,iGACFmB,YAAY,EACZlB,QAAQ,EACRmB,OAAQ,CACNW,cAAeA,EACfpB,MAAO,aAIb2B,SAAU,+BACVC,OAAQ,CACN,CACEvC,QAASiB,OAAO,YAAYF,OAASsB,GACrClB,YAAY,EACZlB,QAAQ,GAEV,CACED,QAASiB,OACP,oBAAoBF,OAASsB,EAAa,aAAatB,QAEzDI,YAAY,EACZlB,QAAQ,IAGZ,oBAAqB,CACnBD,QAAS,gCACTmB,YAAY,EACZC,OAAQ,CACNc,SAAU,SACV5B,QAAS,UACT,aAAc,OACdE,YAAa,SAInBZ,EAAMC,UAAUiC,aAAa,OAAQ,SAAU,CAC7C,iBAAkB,CAChB,CACE9B,QAASiB,OAAO,cAAcF,OAASoB,GACvClC,QAAQ,EACRmB,OAAQ,CACNW,cAAeA,EACfhC,OAAQ,YAGZ,CACEC,QACE,oEACFC,QAAQ,EACRmB,OAAQ,CACNW,cAAeA,EACfhC,OAAQ,YAGZ,CACEC,QAAS,kDACTE,MAAO,iBACPD,QAAQ,EACRmB,OAAQ,CACNa,UAAW,CACTjC,QAAS,kCACToB,OAAQ,CACNmB,OAAQ,QACR/B,YAAa,aAGjBuB,cAAeA,EACfhC,OAAQ,YAGZ,CACEC,QAAS,oDACTE,MAAO,iBACPD,QAAQ,EACRmB,OAAQ,CACNa,UAAW,CACTjC,QAAS,oCACToB,OAAQ,CACNmB,OAAQ,QACR/B,YAAa,iBAGjBT,OAAQ,aAId,kBAAmB,CACjB,CACEC,QAASiB,OAAO,KAAKF,OAASoB,GAC9BlC,QAAQ,EACRmB,OAAQ,CACNW,cAAeA,EACfS,QAAS,CACPxC,QAAS,UACTE,MAAO,YAIb,CACEF,QAAS,0DACTC,QAAQ,EACRmB,OAAQ,CACNW,cAAeA,EACfS,QAAS,CACPxC,QAAS,UACTE,MAAO,sBAMVN,EAAMC,UAAU6B,KAAK3B,OAC5BH,EAAMC,UAAUiC,aAAa,OAAQ,SAAU,CAC7CW,QACE,+OACFC,SAAU,iCAEZ9C,EAAMC,UAAU8C,GAAK/C,EAAMC,UAAU6B,IACtC,CA3LA,CA2LE9B,EACL,CAxMA8B,EAAKjB,YAAc,OACnBiB,EAAKhB,QAAU,CAAC,K,iBCED,SAASkC,EAAKhD,IAC1B,SAAWA,GAEV,IADA,IAAIiD,EAAmB,8CAA8C9B,OAC5D+B,EAAI,EAAGA,EAAI,EAAGA,IAErBD,EAAmBA,EAAiBE,QAAQ,WAAW,WACrD,OAAOF,CACT,IAEFA,EAAmBA,EAAiBE,QAAQ,WAAW,WACrD,MAAO,UAAUhC,MACnB,IACAnB,EAAMC,UAAU+C,KAAO,CACrB9C,QAAS,CACP,CACEE,QAASiB,OAAO,YAAYF,OAAS8B,GACrC1B,YAAY,EACZlB,QAAQ,GAEV,CACED,QAAS,mBACTmB,YAAY,EACZlB,QAAQ,IAGZF,OAAQ,CACNC,QAAS,wDACTC,QAAQ,GAEV+C,KAAM,CACJhD,QACE,2EACFC,QAAQ,GAEVgD,UAAW,CACTjD,QAAS,8CACTC,QAAQ,EACRC,MAAO,YACPkB,OAAQ,CACNrB,OAAQ,OAKZ,iBAAkB,CAChBC,QAAS,2DACTmB,YAAY,EACZlB,QAAQ,EACRmB,OAAQ,CACN,sBAAuB,CACrBpB,QAAS,UACTE,MAAO,eAETgD,KAAM,OAIV,sBAAuB,CACrBlD,QAAS,OACTE,MAAO,UAET,qBAAsB,CACpBF,QAAS,iBACTmB,YAAY,EACZjB,MAAO,eAEToC,SAAU,QACV,sBAAuB,CACrBtC,QAAS,eACTmB,YAAY,EACZjB,MAAO,YAET,kBAAmB,CACjBF,QAAS,6CACTmB,YAAY,EACZjB,MAAO,cAET,qBAAsB,CACpB,CACEF,QAAS,qCACTmB,YAAY,EACZjB,MAAO,aAET,CACEF,QACE,wFACFmB,YAAY,EACZjB,MAAO,YACPkB,OAAQ,CACNZ,YAAa,QAInBF,QAAS,CAEP,8RAGA,gEAKF4B,SAAU,kCACViB,MAAO,CACLnD,QAAS,SACTE,MAAO,YAETwC,SAAU,sBACV,aAAc,eACdU,UAAW,CACTpD,QAAS,6DACToB,OAAQ,CACNZ,YAAa,OAIjBH,OACE,6KACFF,QAAS,qBACTK,YAAa,mCACbD,SAAU,uDAEZX,EAAMC,UAAU+C,KAAK,kBAAkBxB,OAAO8B,KAAOtD,EAAMC,UAAU+C,KACrEhD,EAAMC,UAAU+C,KAAgB,UAAExB,OAAe,OAC/CxB,EAAMC,UAAU+C,KAAa,MAChC,CA7HA,CA6HEhD,EACL,C,iBAnIAgD,EAAKnC,YAAc,OACnBmC,EAAKlC,QAAU,E,+CCIA,SAAS2C,EAAKzD,GAC3BA,EAAM+B,SAAS2B,EAAAA,GACd,SAAW1D,GACVA,EAAMC,UAAUwD,KAAOzD,EAAMC,UAAUgC,OAAO,MAAO,CAEnD/B,QAAS,CACPE,QAAS,gDACTmB,YAAY,EACZlB,QAAQ,KAGZL,EAAMC,UAAUiC,aAAa,OAAQ,SAAU,CAE7C,cAAe,CAEb9B,QAAS,sBACTC,QAAQ,EACRmB,OAAQ,CACNmC,OAAQ,8BAIP3D,EAAMC,UAAUwD,KAAKE,OAC5B,IAAIjB,EAAW,yBACX/B,EAAW,CACb,2CACA,CACEP,QAAS,cACTmB,YAAY,IAGhBvB,EAAMC,UAAUiC,aAAa,OAAQ,WAAY,CAE/C,gBAAiB,CACf9B,QAAS,eACTC,QAAQ,EACRmB,OAAQ,CACNZ,YAAa,IACb8B,SAAUA,EACV/B,SAAUA,IAId,gBAAiB,CACfP,QAAS,qCACTC,QAAQ,EACRmB,OAAQ,CACNoC,SAAU,CACR,kBACA,CACExD,QAAS,aACTmB,YAAY,IAGhBX,YAAa,IACb8B,SAAUA,EACV/B,SAAUA,EACVkD,UAAW7D,EAAMC,UAAUwD,KAAKI,qBAI/B7D,EAAMC,UAAUwD,KAAKG,gBACrB5D,EAAMC,UAAUwD,KAAKI,UAI5B7D,EAAMC,UAAUiC,aAAa,OAAQ,cAAe,CAClD4B,SAAU,CACR1D,QACE,yHACFmB,YAAY,EACZlB,QAAQ,IAGb,CAxEA,CAwEEL,EACL,CA/EAyD,EAAK5C,YAAc,OACnB4C,EAAK3C,QAAU,E,iBCEA,SAASiD,EAAO/D,IAC5B,SAAWA,GACVA,EAAMC,UAAU8D,OAAS,CAMvB7D,QACE,yIACFC,OAAQ,CACNC,QAAS,oBACTC,QAAQ,GAEVsC,OAAQ,CACNvC,QAAS,kBACTC,QAAQ,GAEV+C,KAAM,CACJhD,QACE,0EACFC,QAAQ,GAEV,mBAAoB,CAElB,CACED,QACE,qEACFmB,YAAY,GAEd,CACEnB,QAAS,+CACTmB,YAAY,IAGhBb,QAAS,CACPN,QACE,qZACFmB,YAAY,GAEdsB,QAAS,CAEPzC,QACE,0oDACFmB,YAAY,GAEdZ,SAAU,CACRP,QAAS,4DACTmB,YAAY,GAEdd,OAAQ,CA0BNL,QAASiB,OAgDb,SAAmB2C,GACjB,IAAK,IAAIC,KAAOD,EACdA,EAAQC,GAAOD,EAAQC,GAAKd,QAAQ,cAAc,SAAUc,GAC1D,MAAO,MAAQD,EAAQC,GAAKC,OAAS,GACvC,IAGF,OAAOF,EAAQC,EACjB,CAvDME,CAAU,CACR,cACE,2DAA2DhD,OAC7D,aAAc,sCAAsCA,OACpD,kBAAmB,uCAAuCA,OAC1D,gBACE,6DACGA,OACL,YAAa,8CAA8CA,OAC3D,cAAe,4BAA4BA,OAC3C,aAAc,sCAAsCA,OACpD,kBAAmB,uCAAuCA,OAC1D,gBACE,6DACGA,OACL,YAAa,iDACVA,OACH,WAAY,wDACTA,SAEL,KAEFI,YAAY,GAEdhB,QAAS,CACPH,QAAS,qDACTmB,YAAY,GAEde,SAAU,CACRlC,QACE,4EACFmB,YAAY,GAEd6C,WAAY,CACVhE,QAAS,oDACTmB,YAAY,EACZlB,QAAQ,GAEVO,YAAa,YAkBhB,CApIA,CAoIEZ,EACL,C,iBA1IA+D,EAAOlD,YAAc,SACrBkD,EAAOjD,QAAU,E,gDCIF,SAASuD,EAAKrE,GAC3BA,EAAM+B,SAAS2B,EAAAA,GACf1D,EAAMC,UAAUoE,KAAOrE,EAAMC,UAAUgC,OAAO,MAAO,CACnD/B,QAAS,CACPE,QAAS,uCACTmB,YAAY,GAEdoC,OAAQ,CACNvD,QAAS,sDACToB,OAAQ,CACN8C,KAAM,YAMVC,IAAK,0BAQLT,SAAU,CAER1D,QACE,iGACFoB,OAAQ,CACNgD,OAAQ,CACNpE,QAAS,IACTE,MAAO,aAETmE,YAAa,UACb/B,SAAU,2BAGdkB,SAAU,CACRxD,QAAS,2CACToB,OAAQ,CACNkB,SAAU,6BAIhB1C,EAAMC,UAAUiC,aAAa,OAAQ,SAAU,CAC7CxB,QAAS,CACP,oHACA,CACEN,QAAS,2BACTmB,YAAY,MAIlBvB,EAAMC,UAAUiC,aAAa,OAAQ,YAAa,CAEhDQ,SAAU,2BAEZ1C,EAAMC,UAAUiC,aAAa,OAAQ,WAAY,CAC/C,kBAAmB,CACjB9B,QAAS,6BACTE,MAAO,WAETmE,YAAa,CACXrE,QAAS,UACTE,MAAO,YAEToE,UAAW,CACTtE,QAAS,6BACTE,MAAO,WAETC,QAAS,qBACToE,KAAM,CACJvE,QAAS,WACTE,MAAO,WAETK,SAAU,CACRP,QAAS,kDACTmB,YAAY,KAGhBvB,EAAMC,UAAUoE,KAAa,OAAE7C,OAAO8B,KAAOtD,EAAMC,UAAUoE,IAC/D,CArFAA,EAAKxD,YAAc,OACnBwD,EAAKvD,QAAU,E,iBCEA,SAAS8D,EAAI5E,GAC1BA,EAAMC,UAAU2E,IAAM,CACpB1E,QAAS,CACPE,QAAS,gDACTmB,YAAY,GAEdmB,SAAU,CACR,CACEtC,QAAS,sCACTC,QAAQ,GAEV,YAEFF,OAAQ,CACNC,QAAS,kDACTC,QAAQ,EACRkB,YAAY,GAEd6C,WAAY,CACVhE,QAAS,sCACTC,QAAQ,EACRkB,YAAY,EACZC,OAAQ,CACNZ,YAAa,UAGjB0B,SACE,4FAEF5B,QACE,u9EACFH,QAAS,2BACTE,OAAQ,4CACRE,SACE,+HACFC,YAAa,cAEjB,C,iBAzCAgE,EAAI/D,YAAc,MAClB+D,EAAI9D,QAAU,E,iBCGC,SAAS+D,EAAM7E,GAC5BA,EAAMC,UAAU4E,MAAQ,CACtB3E,QAAS,CAEPE,QACE,uFACFmB,YAAY,EACZlB,QAAQ,GAEV,iBAAkB,CAEhB,CACED,QAASiB,OACP,YAAYF,OACV,MAEA,8DACGA,OACH,IAEA,iEACGA,OACH,IACA,WAAWA,QAEfI,YAAY,EACZlB,QAAQ,EACRmB,OAAQ,CACNW,cAAe,CACb/B,QAAS,oCACTmB,YAAY,EACZC,OAAQ,MAGV,4BAA6B,CAC3BpB,QAAS,YACTE,MAAO,eAETM,YAAa,eACbT,OAAQ,YAGZ,CACEC,QAASiB,OACP,gBAAgBF,OACd,MAEA,gEACGA,OACH,IAEA,2DAA2DA,OAP7D,QAWFI,YAAY,EACZlB,QAAQ,EACRmB,OAAQ,CACNW,cAAe,CACb/B,QAAS,sCACTmB,YAAY,EACZC,OAAQ,MAGV,4BAA6B,CAC3BpB,QAAS,cACTE,MAAO,eAETH,OAAQ,aAId2E,UAAW,CAET1E,QAASiB,OACP,IAAIF,OACF,MACC,kBAAkBA,OACjB,YAIA,8GACGA,OARP,MAWE,mBAAmBA,OACnB,KAEJb,MAAO,WACPkB,OAAQ,CACN,iBAAkB,QAClBjB,QAAS,qBACTE,OAAQ,oBACRE,SAAU,mBACVC,YAAa,UAGjBmE,QAAS,CACP3E,QACE,2FACFE,MAAO,YAET,kBAAmB,CACjBF,QAAS,SACTE,MAAO,YAET+C,UAAW,CACTjD,QAAS,OACTE,MAAO,UAET,sBAAuB,CACrBF,QAAS,iBACTmB,YAAY,EACZjB,MAAO,YAET0E,MAAO,CAEL5E,QACE,2EACFmB,YAAY,EACZjB,MAAO,aAETI,QACE,unBACFH,QAAS,qBACT0E,IAAK,CACH7E,QAAS,UACTE,MAAO,YAET,iBAAkB,UAClB4E,KAAM,CACJ9E,QAAS,QACTE,MAAO,WAETG,OACE,kFAEF,aAAc,kCACd6B,SAAU,wBACVQ,SAAU,sCAIVnC,SAAU,0CACVC,YAAa,mBAEfZ,EAAMC,UAAU4E,MAAM,kBAAkBM,SAAQ,SAAUb,GACxDA,EAAK9C,OAAsB,cAAEA,OAASxB,EAAMC,UAAU4E,KACxD,GACF,C,iBA1JAA,EAAMhE,YAAc,QACpBgE,EAAM/D,QAAU,E,gDCID,SAASsE,EAAWpF,GACjCA,EAAM+B,SAASsD,EAAAA,GACd,SAAWrF,GACVA,EAAMC,UAAUmF,WAAapF,EAAMC,UAAUgC,OAAO,aAAc,CAChE,aAAc,CACZ7B,QACE,+KACFmB,YAAY,EACZlB,QAAQ,EACRmB,OAAQ,MAGVqB,QACE,0FAIJ7C,EAAMC,UAAUmF,WAAW1E,QAAQ4E,KACjC,qDAEA,2FAEA,qCAIKtF,EAAMC,UAAUmF,WAAsB,iBACtCpF,EAAMC,UAAUmF,WAAW,oBAGlC,IAAIG,EAAavF,EAAMC,UAAUgC,OAAO,aAAc,CAAC,UAChDsD,EAAW,cAClBvF,EAAMC,UAAUmF,WAAW,cAAc5D,OAAS+D,EAClDvF,EAAMC,UAAUiC,aAAa,aAAc,WAAY,CACrDsD,UAAW,CACTpF,QAAS,qBACToB,OAAQ,CACNiE,GAAI,CACFrF,QAAS,KACTE,MAAO,YAETgC,SAAU,aAGd,mBAAoB,CAElBlC,QACE,yGACFC,QAAQ,EACRmB,OAAQ,CACNc,SAAU,4DACVoD,QAAS,CACPtF,QAAS,WAETE,MAAO,aACPkB,OAAQ+D,OAKhBvF,EAAMC,UAAU0F,GAAK3F,EAAMC,UAAUmF,UACtC,CA3DA,CA2DEpF,EACL,CAlEAoF,EAAWvE,YAAc,aACzBuE,EAAWtE,QAAU,CAAC,K,gDCGP,SAAS8E,EAAM5F,GAC5BA,EAAM+B,SAAS8D,EAAAA,GACf7F,EAAMC,UAAU2F,MAAQ5F,EAAMC,UAAUgC,OAAO,QAAS,CACtD/B,QAAS,CACP,CACEE,QAAS,iBACToB,OAAQ,CACNd,QAAS,UAGb,CACEN,QAAS,gBACTmB,YAAY,EACZlB,QAAQ,IAGZF,OAAQ,CACNC,QAAS,8BACTmB,YAAY,EACZlB,QAAQ,GAEVK,QACE,86CACFE,YAAa,aAEjB,CA7BAgF,EAAM/E,YAAc,QACpB+E,EAAM9E,QAAU,E,iBCED,SAASgF,EAAK9F,IAC1B,SAAWA,GAGV,IAAI+F,EAAgB,mBAEhBC,EACF,mFAEEC,EACF,MACAD,EAAI7E,OACJ,YACA4E,EAAc5E,OACd,MACA4E,EAAc5E,OACd,YACA6E,EAAI7E,OACJ,MAIE+E,EACF,kJAAkJ/E,OAAOgC,QACvJ,YACA,WACE,MAAO,2EACJhC,MACL,IAEAhB,EAAS,8CAA8CgB,OAQ3D,SAASgF,EAAmBC,EAAOC,GACjCA,GAASA,GAAS,IAAIlD,QAAQ,KAAM,IAAM,IAC1C,IAAI/C,EACF,yFAAyFe,OACtFgC,QAAQ,aAAa,WACpB,OAAO8C,CACT,IACC9C,QAAQ,cAAc,WACrB,OAAOiD,CACT,IACJ,OAAO/E,OAAOjB,EAASiG,EACzB,CACArG,EAAMC,UAAU6F,KAAO,CACrBQ,OAAQ,CACNlG,QAASiB,OACP,6FAA6FF,OAAOgC,QAClG,aACA,WACE,OAAO8C,CACT,KAGJ1E,YAAY,EACZjB,MAAO,UAETJ,QAAS,MACT+D,IAAK,CACH7D,QAASiB,OACP,kEAAkEF,OAC/DgC,QAAQ,aAAa,WACpB,OAAO8C,CACT,IACC9C,QAAQ,YAAY,WACnB,MAAO,MAAQ+C,EAAW,IAAM/F,EAAS,GAC3C,KAEJoB,YAAY,EACZlB,QAAQ,EACRC,MAAO,UAETwE,UAAW,CACT1E,QAAS,gBACTmB,YAAY,EACZjB,MAAO,aAETiG,SAAU,CACRnG,QAAS+F,EACP,sJACGhF,QAELI,YAAY,EACZjB,MAAO,UAETC,QAAS,CACPH,QAAS+F,EAAmB,aAAahF,OAAQ,KACjDI,YAAY,EACZjB,MAAO,aAETqE,KAAM,CACJvE,QAAS+F,EAAmB,SAAShF,OAAQ,KAC7CI,YAAY,EACZjB,MAAO,aAETH,OAAQ,CACNC,QAAS+F,EAAmBhG,GAC5BoB,YAAY,EACZlB,QAAQ,GAEVI,OAAQ,CACNL,QAAS+F,EACP,iFACGhF,OACH,KAEFI,YAAY,GAEdyE,IAAKA,EACLnC,UAAWkC,EACXnF,YAAa,6BAEfZ,EAAMC,UAAUuG,IAAMxG,EAAMC,UAAU6F,IACvC,CAtHA,CAsHE9F,EACL,C,iBA5HA8F,EAAKjF,YAAc,OACnBiF,EAAKhF,QAAU,CAAC,M,uuCCID,SAAS2F,GAAQzG,GAC9BA,EAAM+B,SAAS2E,EAAAA,GACd,SAAW1G,GAGV,IAAI2G,EAAgB,yCAAyCxF,OAGzDyF,EAAmB,uBAAuBzF,OAK9C,SAAS0F,EAAa1F,EAAQkF,GAC5B,OAAOhF,OACLF,EACGgC,QAAQ,UAAU,WACjB,MAAO,MAAQwD,EAAgB,GACjC,IACCxD,QAAQ,UAAU,WACjB,MAAO,MAAQyD,EAAmB,GACpC,IACFP,GAAS,GAEb,CACA,IAAIS,EAAiB,CACnBC,IAAK,CACH3G,QAAS,aACToB,OAAQ,CACN8B,KAAMtD,EAAMC,UAAU8G,MAG1B,WAAY,CACV3G,QAAS,mBACTmB,YAAY,EACZjB,MAAO,cAET0G,KAAM,CACJ5G,QAAS,qBACTmB,YAAY,EACZjB,MAAO,cAGTM,YAAa,gBAEX6F,EAAWzG,EAAMC,UAAUwG,QAAUzG,EAAMC,UAAUgC,OAAO,SAAU,CACxEgF,OAAQ,CACN7G,QAAS,2CACTmB,YAAY,EACZC,OAAQ,CAEN,YAAa,CACXpB,QAASyG,EAAa,oCAAoC1F,QAC1DK,OAAQ,CACN0F,SAAU,CACR9G,QAASyG,EACP,0CAA0C1F,QAE5CI,YAAY,EACZC,OAAQsF,GAEVd,IAAK,YACLpF,YAAa,QAKjBuG,KAAM,CACJ/G,QAASyG,EAAa,sBAAsB1F,OAAQ,KACpDK,OAAQ,CACN0F,SAAU,CACR9G,QAASyG,EAAa,iBAAiB1F,QACvCI,YAAY,EACZC,OAAQsF,GAEVlG,YAAa,WAIjBwG,MAAO,CAGLhH,QAASyG,EACP,qIACG1F,OACH,KAEFK,OAAQ,CACN0F,SAAU,CAGR9G,QAASyG,EACP,8DACG1F,QAELI,YAAY,EACZC,OAAQsF,GAEVlG,YAAa,WAGjByG,OAAQ,CAENjH,QAASyG,EACP,qEACG1F,QAELI,YAAY,EACZC,OAAQ,CAIN8F,KAAM,CAEJlH,QAASyG,EAAa,4BAA4B1F,QAClDI,YAAY,GAGdgG,OAAQ,CAENnH,QAASyG,EAAa,0BAA0B1F,QAChDI,YAAY,GAGdiG,KAAM,CAEJpH,QAASyG,EAAa,2BAA2B1F,QACjDI,YAAY,EACZjB,MAAO,UAGTmH,KAAM,CAEJrH,QAASyG,EAAa,qBAAqB1F,QAC3CI,YAAY,EACZjB,MAAO,WAGToH,SAAU,CAERtH,QAASyG,EAAa,uBAAuB1F,QAC7CI,YAAY,GAGdoG,QAAS,CAEPvH,QAASyG,EAAa,qBAAqB1F,QAC3CI,YAAY,GAGdqG,KAAM,CAEJxH,QAASyG,EAAa,qBAAqB1F,QAC3CI,YAAY,GAEd2F,SAAU,CACR9G,QAASyG,EACP,oCAAoC1F,QAEtCI,YAAY,EACZC,OAAQsF,GAEVlG,YAAa,kBAIjB,WAAY,CACVR,QAAS,mBACToB,OAAQ,CACNrB,OAAQ,CACNC,QAAS,oBACTmB,YAAY,GAEdgD,IAAK,CACHnE,QAAS,YACTmB,YAAY,GAEdX,YAAa,WAKjBiH,KAAM,CAEJzH,QAASyG,EACP,uCAAuC1F,QAEzCK,OAAQ,CACNsG,KAAM,CAEJ1H,QAASyG,EAAa,uBAAuB1F,QAC7CI,YAAY,GAEd2F,SAAU,CACR9G,QAASyG,EAAa,aAAa1F,QACnCI,YAAY,EACZC,OAAQsF,GAEVvC,IAAK,CACHnE,QAAS,QACTmB,YAAY,GAEdX,YAAa,SAKjBmH,MAAO,CACL3H,QAASyG,EACP,uFACG1F,QAELK,OAAQ,CACNL,OAAQ,CACNf,QAASyG,EACP,kEACG1F,QAELI,YAAY,EACZjB,MAAO,OAET4G,SAAU,CACR9G,QAASyG,EAAa,6BAA6B1F,QACnDI,YAAY,EACZC,OAAQsF,GAEVvC,IAAK,CACHnE,QAAS,QACTmB,YAAY,GAEdX,YAAa,SAIjBoH,SAAU,CACR5H,QAAS,YACTE,MAAO,UACPkB,OAAQ,CACNZ,YAAa,UAIjBqH,QAAS,CACP7H,QAAS,sBACToB,OAAQ,CACNtB,QAAS,CACPE,QAAS,mBACTmB,YAAY,GAEdX,YAAa,SAIjBsH,KAAM,CACJ9H,QAAS,mBACTE,MAAO,UACPkB,OAAQ,CACNZ,YAAa,aAMnBuH,EAAe1B,EAAgB,OAAEjF,OACjC4G,EAAiB,CACnBf,OAAQc,EAAqB,OAC7BN,KAAMM,EAAmB,KACzBJ,MAAOI,EAAoB,MAC3BH,SAAUG,EAAuB,SACjCF,QAASE,EAAsB,QAC/BD,KAAMC,EAAmB,MAI3B1B,EAAQT,IAAI5F,QACV,qGAGF,IAAIiI,EAAqBF,EAAqB,OAAE3G,OAChD6G,EAAyB,KAAE7G,OAAS4G,EACpCC,EAA2B,OAAE7G,OAAS4G,EACtCC,EAA6B,SAAE7G,OAAS4G,EACxCC,EAA4B,QAAE7G,OAAS4G,EACvCC,EAAyB,KAAE7G,OAAS4G,EAGpC,IAAIE,EAAoBH,EAAoB,MAAE3G,OAC9C8G,EAA0B,OAAIF,EAAuB,OACrDE,EAAwB,KAAIF,EAAqB,KACjDE,EAAyB,MAAIF,EAAsB,MACnDE,EAA4B,SAAIF,EAAyB,SACzDE,EAA2B,QAAIF,EAAwB,QACvDE,EAAwB,KAAIF,EAAqB,IAClD,CAnSA,CAmSEpI,EACL,CA1SAyG,GAAQ5F,YAAc,UACtB4F,GAAQ3F,QAAU,G,+GCEH,SAASyH,GAAIvI,GAG1BA,EAAMC,UAAUsI,IAAM,CACpBxE,OAAQ,CACN3D,QAAS,wBACTC,QAAQ,EACRmB,OAAQ,CACN,mBAAoB,OAGxBgH,SAAU,CACRpI,QAAS,6BACToB,OAAQ,CACN,qBAAsB,OAG1BiH,MAAO,CACLrI,QAAS,8BACToB,OAAQ,CACN,kBAAmB,CACjBpB,QAAS,MACTC,QAAQ,GAEV,iBAAkB,OAClBqI,KAAM,CACJtI,QAAS,eACToB,OAAQ,CACNyC,IAAK,SACLmC,MAAO,CACLhG,QAAS,cACTmB,YAAY,OAMtBoH,UAAW,CACTvI,QAASiB,OACP,QAAQF,OAEN,+BAA+BA,OAE9B,MAEC,kEACGA,OACH,IAEA,wBAAwBA,OACxB,IAEF,YAAYA,OACd,KAEFK,OAAQ,CACN,sBAAuB,QACvB,oBAAqB,CACnBpB,QAAS,2BACToB,OAAQ,CACN,sBAAuB,KACvB,YAAa,4BAGjB,eAAgB,CACdpB,QAAS,QACToB,OAAQ,CACN,iBAAkB,KAClBoH,KAAM,SAGVC,KAAM,CACJzI,QAAS,UACToB,OAAQ,CACN,aAAc,CACZpB,QAAS,gBACToB,OAAQ,CACN,uBAAwB,UACxB,aAAc,YACd,eAAgB,aAGpB,eACE,oEAKVsH,KAAM,CACJ1I,QAAS,6BACToB,OAAQ,CACN,iBAAkB,QAIxBxB,EAAMC,UAAUsE,IAAMvE,EAAMC,UAAUsI,GACxC,CApGAA,GAAI1H,YAAc,MAClB0H,GAAIzH,QAAU,CAAC,O,iJCIA,SAASiI,GAAM/I,GAC5BA,EAAM+B,SAASiH,GAAAA,GACfhJ,EAAMC,UAAU8I,MAAQ/I,EAAMC,UAAUgC,OAAO,OAAQ,CACrD,uBAAwB,CACtB7B,QAAS,iBACTC,QAAQ,EACRC,MAAO,UAETH,OAAQ,CACNC,QAAS,kCACTC,QAAQ,GAEVK,QACE,6TACFD,OACE,0EACFoC,QACE,8FACFF,OAAQ,kBAEV3C,EAAMC,UAAUiC,aAAa,QAAS,uBAAwB,CAC5D,uBAAwB,CACtB9B,QACE,6HACFC,QAAQ,EACRmB,OAAQ,CACNyH,GAAI,CACF7I,QAAS,OACTC,QAAQ,EACRC,MAAO,YAETW,OAAQ,CACNb,QAAS,eACTC,QAAQ,EACRC,MAAO,UAET6B,cAAe,CACb/B,QAAS,sCACTC,QAAQ,EACRmB,OAAQ,CACNZ,YAAa,aACbsI,WAAY,CACV9I,QAAS,UACToB,OAAQxB,EAAMC,UAAU8I,SAI9B5I,OAAQ,qBAIPH,EAAMC,UAAU8I,MAAM,qBACtB/I,EAAMC,UAAU8I,MAAgB,gBAChC/I,EAAMC,UAAU8I,MAAgB,QACzC,CA1DAA,GAAMlI,YAAc,QACpBkI,GAAMjI,QAAU,G,+zBCED,SAASqI,GAAOnJ,IAC5B,SAAWA,GACV,IAAIoJ,EAAO,CACThJ,QAAS,sBACTmB,YAAY,GAGVd,EAAS,CACXL,QAAS,uCACTmB,YAAY,GAEVC,EAAS,CACXtB,QAAS,CACPE,QAAS,uCACTmB,YAAY,GAEdgD,IAAK,CACHnE,QAAS,yBACTC,QAAQ,GAEVF,OAAQ,CACNC,QAAS,gDACTC,QAAQ,GAEV8B,cAAe,KAEfkH,KAAM,KAENxF,UAAW,+BACXnD,QAAS,CACPN,QAAS,2DACTmB,YAAY,GAEd+H,QAAS,iBACTC,MAAO,CACL,q5CACA,CACEnJ,QACE,4JACFoB,OAAQ,CACN4H,KAAMA,EACN3I,OAAQA,EACR6B,SAAU,eACV1B,YAAa,WAInB4I,OAAQ,kBACRJ,KAAMA,EACN7I,QAAS,qBACTI,SAAU,CAGR,wGAEFF,OAAQA,EACRG,YAAa,iBAEfY,EAAsB,cAAI,CACxBpB,QAAS,iBACTE,MAAO,WACPkB,OAAQ,CACNa,UAAW,CACTjC,QAAS,UACTE,MAAO,eAETgD,KAAM9B,IAGVA,EAAa,KAAI,CACfpB,QAAS,oBACToB,OAAQ,CACNc,SAAU,SACVgB,KAAM9B,IAGVxB,EAAMC,UAAUkJ,OAAS,CACvB,qBAAsB,CACpB/I,QAAS,gBACTmB,YAAY,EACZC,OAAQ,CACNmC,OAAQ,WACRL,KAAM9B,IAGV,uBAAwB,CACtBpB,QAAS,qDACTmB,YAAY,EACZC,OAAQ,CACNkB,SAAU,OACVY,KAAM9B,IAGVkD,UAAW,CACTtE,QAAS,iDACTmB,YAAY,EACZC,OAAQ,CACNd,QAAS,OACT4C,KAAM9B,IAKV,uBAAwB,CACtBpB,QACE,6HACFmB,YAAY,EACZC,OAAQ,CACNoC,SAAU,CACRxD,QAAS,WACToB,OAAQ,CACNW,cAAeX,EAAOW,gBAG1BmB,KAAM9B,IAMVsC,SAAU,CACR1D,QACE,wOACFmB,YAAY,EACZC,OAAQ,CACNW,cAAeX,EAAOW,cACtBjC,QAASsB,EAAOtB,QAChBU,YAAa,UAGjByI,KAAM7H,EAAO6H,KACblJ,OAAQqB,EAAOrB,OACfD,QAAS,CACPE,QAAS,uCACTmB,YAAY,EACZlB,QAAQ,GAEV8B,cAAeX,EAAOW,cACtBvB,YAAa,gBAEhB,CA3IA,CA2IEZ,EACL,CC5Ie,SAASyJ,GAAKzJ,GAC3BA,EAAM+B,SAAS2H,GAAAA,GACf1J,EAAMC,UAAUwJ,KAAO,CACrBvJ,QAAS,mBACT,WAAY,CACVE,QAAS,iBACTmB,YAAY,EACZjB,MAAO,WAET+B,UAAW,CACTjC,QAAS,sBACTE,MAAO,eAETH,OAAQ,CACNC,QAAS,kCACToB,OAAQ,CACNZ,YAAa,gBAGjBF,QAAS,sBACTH,QAAS,0BACTE,OAAQ,+DACRE,SAAU,CACR,CACEP,QACE,wFACFmB,YAAY,GAEd,uCAEFX,YAAa,iBAEfZ,EAAM2J,MAAMC,IAAI,mBAAmB,SAAUC,GAC3C,GAAqB,SAAjBA,EAAIC,SAAR,CAIA9J,EAAMC,UAAU,qBAAqB8J,kBAAkBF,EAAK,OAD9C,8CADd,CAGF,IACA7J,EAAM2J,MAAMC,IAAI,kBAAkB,SAAUC,GAC1C7J,EAAMC,UAAU,qBAAqB+J,qBAAqBH,EAAK,OACjE,GACF,CD/CAV,GAAOtI,YAAc,SACrBsI,GAAOrI,QAAU,GCAjB2I,GAAK5I,YAAc,OACnB4I,GAAK3I,QAAU,G,qECGA,SAASmJ,GAAOjK,GAC7BA,EAAM+B,SAASC,EAAAA,GACd,SAAWhC,GAWV,SAASmD,EAAQ/C,EAAS8J,GACxB,OAAO9J,EAAQ+C,QAAQ,cAAc,SAAUgH,EAAGC,GAChD,MAAO,MAAQF,GAAcE,GAAS,GACxC,GACF,CAOA,SAASC,EAAGjK,EAAS8J,EAAc7D,GACjC,OAAOhF,OAAO8B,EAAQ/C,EAAS8J,GAAe7D,GAAS,GACzD,CA+BA,IAAIiE,EAAWjJ,OAFN,UAPD,sHAUgC,IAPpC,kQAIsB6C,OAAOf,QAAQ,KAAM,KAAO,QAQlDoH,EAAgBpH,EAAQ,0BAA0BhC,OAAQ,CAD7C,mBAAmBA,SAEhCoE,EAAa,CACf7E,QAAS4J,EACT1J,YAAa,iBAIX4J,EAAgB,oBAAoBrJ,OACxCnB,EAAMC,UAAUgK,OAASjK,EAAMC,UAAUgC,OAAO,QAAS,CACvD/B,QAAS,SACTC,OAAQ,CACN,CACEC,QAASiK,EAAG,kBAAkBlJ,OAAQ,CAACqJ,IACvCjJ,YAAY,EACZlB,QAAQ,IAGZ,aAAc,CACZ,CAGED,QAASiK,EAAG,2CAA2ClJ,OAAQ,CAC7DoJ,IAEFhJ,YAAY,EACZC,OAAQ+D,GAEV,CAEEnF,QAASiK,EAAG,iCAAiClJ,OAAQ,CAACoJ,IACtDhJ,YAAY,EACZC,OAAQ+D,IAGZ7E,QAAS4J,EACT7J,OACE,qFACFE,SACE,+GACFC,YAAa,qBAEfZ,EAAMC,UAAUiC,aAAa,SAAU,SAAU,CAC/Cd,MAAO,CACLhB,QAAS,OACTE,MAAO,cAKX,IAAImK,EA7EJ,SAAgBrK,EAASsK,GACvB,IAAK,IAAIxH,EAAI,EAAGA,EAAIwH,EAAWxH,IAC7B9C,EAAUA,EAAQ+C,QAAQ,aAAa,WACrC,MAAO,MAAQ/C,EAAU,GAC3B,IAEF,OAAOA,EAAQ+C,QAAQ,YAAa,YACtC,CAsEwBwH,CACtBxH,EAAQ,iCAAiChC,OAAQ,CAACqJ,IAClD,GAEFxK,EAAMC,UAAUiC,aAAa,SAAU,SAAU,CAC/C,uBAAwB,CACtB9B,QAASiK,EAAG,6BAA6BlJ,OAAQ,CAACsJ,IAClDpK,QAAQ,EACRmB,OAAQ,CACNW,cAAe,CACb/B,QAASiK,EAAG,8BAA8BlJ,OAAQ,CAChDsJ,IAEFlJ,YAAY,EACZC,OAAQ,CACNZ,YAAa,UACbsI,WAAY,CACV9I,QAAS,UACTE,MAAO,kBACPkB,OAAQxB,EAAMC,UAAUgK,UAI9B9J,OAAQ,aAIf,CAzIA,CAyIEH,GACHA,EAAMC,UAAU2K,GAAK5K,EAAMC,UAAUgK,MACvC,CC9Ie,SAASY,GAAE7K,GACxBA,EAAMC,UAAU4K,EAAI,CAClB1K,OAAQ,wBACRD,QAAS,CAGP,CACEE,QAAS,kBACTmB,YAAY,EACZlB,QAAQ,GAOV,CACED,QACE,oGACFmB,YAAY,EACZlB,QAAQ,GAIV,CACED,QAAS,gCACTC,QAAQ,GAEV,CACED,QAAS,SACTC,QAAQ,IAGZsC,OAAQ,mBACR4D,SAAU,CACRnG,QACE,6IACFE,MAAO,UAITG,OACE,0FACFC,QACE,u4BACFoK,OAAQ,CACN1K,QAAS,qBACTE,MAAO,YAETyK,KAAM,CACJ3K,QAAS,8DACTE,MAAO,YAETM,YAAa,eAEjB,CCtDe,SAASoK,GAAIhL,GAC1BA,EAAM+B,SAASsD,EAAAA,GACd,SAAWrF,GAaV,IAZA,IAAIiL,EAAW,8CAA8C9J,OACzD+J,EAAY,yCAAyC/J,OACrDgK,EACF,iGAAiGhK,OAC9FgC,QAAQ,aAAa,WACpB,OAAO8H,CACT,IACC9H,QAAQ,cAAc,WACrB,OAAO+H,CACT,IAGKhI,EAAI,EAAGA,EAAI,EAAGA,IACrBiI,EAASA,EAAOhI,QAAQ,WAAW,WACjC,OAAOgI,CACT,IAEFA,EAASA,EAAOhI,QAAQ,UAAW,aACnCnD,EAAMC,UAAU+K,IAAM,CACpB9K,QAAS,CACPE,QAAS,0BACTC,QAAQ,GAEV,sBAAuB,CACrBD,QAASiB,OACP,2GAA2GF,OAAOgC,QAChH,SACA,WACE,OAAOgI,CACT,IAEF,KAEF5J,YAAY,EACZlB,QAAQ,EACRC,MAAO,sBACPkB,OAAQxB,EAAMC,UAAUmL,YAE1B,aAAc,CACZhL,QAAS,sDACTmB,YAAY,GAEdqC,SAAU,CACR,CACExD,QAAS,oDACTmB,YAAY,GAEd,CACEnB,QACE,2FACFmB,YAAY,EACZC,OAAQ,CACNd,QAAS,YACTkD,SAAU,mBAIhB,wBAAyB,CACvBxD,QAASiB,OACP,0CAA0CF,OAAOgC,QAC/C,SACA,WACE,OAAOgI,CACT,IAEF,KAEF5J,YAAY,EACZlB,QAAQ,EACRC,MAAO,sBACPkB,OAAQxB,EAAMC,UAAUmL,YAE1BjL,OAAQ,CACNC,QAAS,wBACTC,QAAQ,GAEVK,QAAS,uBACTE,YAAa,aAEhB,CAhFA,CAgFEZ,EACL,CCnFe,SAASqL,GAAKrL,GAC3BA,EAAM+B,SAASC,EAAAA,GACfhC,EAAMC,UAAUoL,KAAOrL,EAAMC,UAAUgC,OAAO,QAAS,CACrD/B,QAAS,CACPE,QAAS,6CACTmB,YAAY,GAGdpB,OAAQ,CACNC,QAAS,mCACTC,QAAQ,GAEVK,QACE,udACFH,QAAS,sBACT+B,SAAU,uBACV7B,OACE,6GACFE,SAAU,CACRP,QACE,8FACFmB,YAAY,GAEdmB,SAAU,iBAEd,CH7BAuH,GAAOpJ,YAAc,SACrBoJ,GAAOnJ,QAAU,CAAC,MCFlB+J,GAAEhK,YAAc,IAChBgK,GAAE/J,QAAU,GCAZkK,GAAInK,YAAc,MAClBmK,GAAIlK,QAAU,GCDduK,GAAKxK,YAAc,OACnBwK,GAAKvK,QAAU,G,eCGA,SAASwK,GAAOtL,GAC7BA,EAAM+B,SAASwJ,GAAAA,GACfvL,EAAMC,UAAUqL,OAAStL,EAAMC,UAAUgC,OAAO,SAAU,CACxD,mBAAoB,CAGlB7B,QAAS,qCACTmB,YAAY,KAGhBvB,EAAMC,UAAUiC,aAAa,SAAU,SAAU,CAC/C8E,KAAM,CACJ5G,QAAS,YACTC,QAAQ,EACRC,MAAO,aAGXN,EAAMC,UAAUuL,IAAMxL,EAAMC,UAAUqL,MACxC,CAtBAA,GAAOzK,YAAc,SACrByK,GAAOxK,QAAU,CAAC,O,0BCIH,SAAS2K,GAAIzL,GAC1BA,EAAM+B,SAAS2J,GAAAA,GACf1L,EAAM+B,SAAS4J,GAAAA,GACd,SAAW3L,GACV,IAAIoF,EAAapF,EAAM4L,KAAKC,MAAM7L,EAAMC,UAAUmF,YAClDpF,EAAMC,UAAUwL,IAAMzL,EAAMC,UAAUgC,OAAO,MAAOmD,UAG7CpF,EAAMC,UAAUwL,IAAe,iBAC/BzL,EAAMC,UAAUwL,IAAI,oBAK3B,IAAIzF,EAAMhG,EAAMC,UAAUwL,IAAIzF,IAC9BA,EAAI5F,QAAUiB,OACZ,qBAAqBF,OAAS,MAAQ6E,EAAI5F,QAAQe,OAAS,IAC3D6E,EAAI5F,QAAQiG,OAEdL,EAAIzE,YAAa,CAClB,CAjBA,CAiBEvB,EACL,CCtBe,SAAS8L,GAAO9L,GAC7BA,EAAM+B,SAASC,EAAAA,GACfhC,EAAMC,UAAU6L,OAAS9L,EAAMC,UAAUgC,OAAO,QAAS,CACvD9B,OAAQ,CACNC,QAAS,sCACTC,QAAQ,GAGV,aAAc,aACdK,QACE,4RACFC,SACE,wGAEJX,EAAMC,UAAUiC,aAAa,SAAU,aAAc,CACnDkB,KAAM,CACJhD,QAAS,gEACTC,QAAQ,GAGV0L,YAAa,wBACb/G,MAAO,CACL5E,QAAS,mBACTE,MAAO,mBAKJN,EAAMC,UAAU6L,OAAOxJ,QAChC,CC9Be,SAAS0J,GAAKhM,GAG3BA,EAAMC,UAAU+L,KAAO,CACrB9L,QAAS,MACT0D,SAAU,CACRxD,QACE,0EACFmB,YAAY,EACZlB,QAAQ,GAEVF,OAAQ,CACNC,QAAS,yCACTmB,YAAY,EACZlB,QAAQ,GAEVK,QACE,yEACFH,QAAS,qBACT+B,SAAU,CACRlC,QAAS,oDACToB,OAAQ,CACNgC,UAAW,mBACX5C,YAAa,OAGjBH,OAAQ,qCACRE,SAAU,8BACVC,YAAa,gBAEjB,CC9Be,SAASqL,GAAMjM,GAC5BA,EAAMC,UAAUgM,MAAQ,CACtB/L,QAAS,CACPE,QAAS,eACTmB,YAAY,GAEdpB,OAAQ,CACNC,QACE,iGACFC,QAAQ,GAEViC,SAAU,qBACVsB,SACE,+lFACFoC,IAAK,uPACLtF,QACE,41BACFH,QAAS,2BACTE,OACE,kFACFE,SACE,+EACFC,YAAa,iBAEfZ,EAAMC,UAAUiM,IAAMlM,EAAMC,UAAUgM,KACxC,CCzBe,SAASE,GAASnM,GAC/BA,EAAMC,UAAUkM,SAAW,CACzBjM,QAAS,CACPE,QAAS,gCACTC,QAAQ,GAEV+C,KAAM,CACJhD,QAAS,8BACTC,QAAQ,GAEVF,OAAQ,CACNC,QAAS,sCACTC,QAAQ,GAEV,aAAc,uCACdiC,SAAU,CACRlC,QAAS,iCACTmB,YAAY,GAEdd,OACE,oHACFF,QAAS,qBACT,aAAc,mBACduC,SAAU,CACR1C,QAAS,sBACTmB,YAAY,GAEdyE,IAAK,CACH5F,QAAS,8BACTmB,YAAY,EACZC,OAAQ,CACNb,SAAU,WAGdD,QACE,mRACFC,SACE,uGACFC,YAAa,gBAEfZ,EAAMC,UAAUiC,aAAa,WAAY,SAAU,CACjD,kBAAmB,CACjB9B,QACE,2EACFC,QAAQ,EACRmB,OAAQ,CACN,uBAAwB,CACtBpB,QAAS,QACTE,MAAO,UAET6B,cAAe,CACb/B,QACE,mEACFmB,YAAY,EACZC,OAAQ,CACN,4BAA6B,CAC3BpB,QAAS,YACTE,MAAO,OAETgD,KAAMtD,EAAMC,UAAUkM,WAG1BhM,OAAQ,cAIdH,EAAMC,UAAUmM,IAAMpM,EAAMC,UAAUkM,QACxC,CCnEe,SAAS7I,GAAKtD,GAC3BA,EAAMC,UAAUqD,KAAO,CACrB8D,MAAO,CACL,CACEhH,QACE,oFACFmB,YAAY,EACZC,OAAQ,CACNZ,YAAa,sBAGjB,CACER,QACE,0FACFmB,YAAY,EACZC,OAAQ,CACNZ,YAAa,WAMnB,mBAAoB,CAClBR,QAAS,wDACTmB,YAAY,EACZC,OAAQ,CACN6K,aAAc,CACZjM,QAAS,oCACTE,MAAO,aACPkB,OAAQ,CACNZ,YAAa,YAGjBkE,UAAW,CACT1E,QAAS,kBACTmB,YAAY,EACZjB,MAAO,WACPkB,OAAQ,CACNZ,YAAa,UAKrB,cAAe,CACb,CACER,QAAS,4BACTmB,YAAY,EACZjB,MAAO,SACPkB,OAAQ,CACNZ,YAAa,YAGjB,CACER,QAAS,+CACTmB,YAAY,EACZjB,MAAO,SACPkB,OAAQ,CACNZ,YAAa,WAInBkE,UAAW,CACT1E,QAAS,yBACTmB,YAAY,EACZjB,MAAO,WACPkB,OAAQ,CACNZ,YAAa,QAGjBV,QAAS,CAEPE,QACE,yEACFmB,YAAY,GAEd+K,MAAO,CAEL,CACElM,QACE,gFACFoB,OAAQ,CACNZ,YACE,sFACFiD,UAAW,OAIf,CACEzD,QACE,8FACFmB,YAAY,EACZC,OAAQ,CACNZ,YAAa,4CACbiD,UAAW,QAIjB0I,GAAI,CACFnM,QACE,sFACFmB,YAAY,EACZjB,MAAO,eAETkM,MAAO,CACLpM,QAAS,6BACTmB,YAAY,EACZjB,MAAO,aAET,sBAAuB,CACrBF,QACE,mLACFmB,YAAY,EACZjB,MAAO,UAET,gBAAiB,CACfF,QAAS,4DACToB,OAAQ,CACN,4BAA6B,CAC3BpB,QAAS,MACTE,MAAO,iBAIb,uBAAwB,CACtBF,QACE,oFACFoB,OAAQ,CACN,4BAA6B,CAC3BpB,QAAS,wDACTE,MAAO,iBAIb,cAAe,CACbF,QACE,wGACFmB,YAAY,EACZjB,MAAO,eAET,gBAAiB,CACfF,QAAS,sCACTmB,YAAY,EACZC,OAAQ,CACNZ,YAAa,SAGjByG,OAAQ,CACN,CACEjH,QACE,sHACFmB,YAAY,EACZC,OAAQ,CACN8F,KAAM,CACJlH,QAAS,qBACTmB,YAAY,GAEdgG,OAAQ,CACNnH,QAAS,iBACTmB,YAAY,GAEd,iBAAkB,CAChBnB,QAAS,iBACTmB,YAAY,EACZjB,MAAO,UAETmM,KAAM,CACJrM,QAAS,oBACTE,MAAO,WACPkB,OAAQ,CACNZ,YAAa,UAGjB,mBAAoB,CAClBR,QAAS,eACTmB,YAAY,EACZjB,MAAO,cAET+L,aAAc,CACZjM,QAAS,iBACTmB,YAAY,EACZjB,MAAO,cAETM,YAAa,kBAInBiH,KAAM,CACJ,CACEzH,QAAS,4CACTE,MAAO,SACPkB,OAAQ,CACNZ,YAAa,aAGjB,CACER,QACE,wFACFE,MAAO,SACPkB,OAAQ,CACNZ,YAAa,oBAQnBA,YAAa,CACXR,QAAS,6DACTmB,YAAY,GAGlB,CCpNe,SAASmL,GAAI1M,GAC1BA,EAAMC,UAAUyM,IAAM,CACpBxM,QAAS,CACPE,QAAS,MACTC,QAAQ,GAEV+C,KAAM,CACJhD,QAAS,mCACTC,QAAQ,GAEVF,OAAQ,CACNC,QAAS,kCACTC,QAAQ,GAEVU,MAAO,CACLX,QACE,iFACFmB,YAAY,EACZlB,QAAQ,GAEVK,QACE,kFACFmC,QAAS,eACTtC,QAAS,qBACToM,KAAM,wBACNC,KAAM,wBACNrG,SAAU,0CACV5D,OAAQ,6DACRlC,OAAQ,4BACRG,YAAa,qCACbiM,UAAW,4DAEf,CChCe,SAASC,GAAS9M,GAC/BA,EAAMC,UAAU6M,SAAW,CACzB5M,QAAS,MACTQ,QAAS,CACPN,QACE,gFACFmB,YAAY,GAEdwL,UAAW,CACT3M,QAAS,qBACTE,MAAO,YAETsD,SAAU,qBACVwC,MAAO,CACLhG,QAAS,2BACTmB,YAAY,EACZjB,MAAO,cAET0M,SAAU,CACR5M,QAAS,eACTE,MAAO,WAET2M,SAAU,CACR7M,QAAS,SACTmB,YAAY,EACZjB,MAAO,YAETM,YAAa,YAEjB,CC7Be,SAASsM,GAAelN,IACpC,SAAWA,GACV,IAAIE,EAAU,CACZE,QAAS,wBACTmB,YAAY,EACZlB,QAAQ,GAENqC,EAAW,CACbtC,QAAS,iEACTmB,YAAY,EACZC,OAAQ,CACNZ,YAAa,kBAGjB,SAASuM,EAAcC,EAAM5L,GAC3B,IAAI6L,EAAiB,CACrBA,iBAAmC,CACjCjN,QAAS,mBACTE,MAAO,YAIT,IAAK,IAAIgN,KAAS9L,EAChB6L,EAAeC,GAAS9L,EAAO8L,GAWjC,OATAD,EAAoB,IAAI,CACtBjN,QAAS,sCACTmB,YAAY,EACZC,OAAQ,CACNZ,YAAa,UAGjByM,EAAyB,SAAI3K,EAC7B2K,EAAwB,QAAInN,EACrB,CACLE,QAASiB,OACP,wDAAwDF,OAAOgC,QAC7D,WACA,WACE,OAAOiK,CACT,IAEF,MAEF9M,MAAO,UACPkB,OAAQ6L,EAEZ,CACA,IAAIE,EAAS,CACXnN,QACE,gFACFmB,YAAY,EACZjB,MAAO,UAELkN,EAAe,CACjBpN,QAAS,qCACTmB,YAAY,EACZjB,MAAO,WACPkB,OAAQ,CACNkB,SAAUA,IAGV+K,EAAmB,CACrBrN,QAAS,6DACTmB,YAAY,EACZC,OAAQ,CACNkB,SAAUA,IAGd1C,EAAMC,UAA0B,eAAI,CAClCyN,SAAUP,EAAc,WAAY,CAClCQ,cAAe,CACbvN,QACE,oFACFmB,YAAY,EACZjB,MAAO,UAETsD,SAAU,CACRxD,QAAS,2CACTmB,YAAY,KAGhBqM,UAAWT,EAAc,aACzB,aAAcA,EAAc,aAAc,CACxC,YAAaK,EACbG,cAAeJ,EACf3J,SAAU6J,IAEZnD,SAAU6C,EAAc,WAAY,CAClC,eAAgBK,EAChBG,cAAeJ,EACf3J,SAAU6J,IAEZI,MAAOV,EAAc,QAAS,CAC5B,YAAaK,EACbG,cAAeJ,EACf3J,SAAU6J,IAEZvN,QAASA,GAEXF,EAAMC,UAAU6N,MAAQ9N,EAAMC,UAA0B,cACzD,CApGA,CAoGED,EACL,CRxGAyL,GAAI5K,YAAc,MAClB4K,GAAI3K,QAAU,GCFdgL,GAAOjL,YAAc,SACrBiL,GAAOhL,QAAU,GCFjBkL,GAAKnL,YAAc,OACnBmL,GAAKlL,QAAU,GCDfmL,GAAMpL,YAAc,QACpBoL,GAAMnL,QAAU,CAAC,OCDjBqL,GAAStL,YAAc,WACvBsL,GAASrL,QAAU,CAAC,OCDpBwC,GAAKzC,YAAc,OACnByC,GAAKxC,QAAU,GCDf4L,GAAI7L,YAAc,MAClB6L,GAAI5L,QAAU,GCDdgM,GAASjM,YAAc,WACvBiM,GAAShM,QAAU,GCDnBoM,GAAerM,YAAc,iBAC7BqM,GAAepM,QAAU,CAAC,S,eCGX,SAASiN,GAAI/N,IACzB,SAAWA,GACV,IAAIgO,EAAgB,8CAA8C7M,OAC9DV,EAAS,mDACTwN,EAAkB,CACpB7N,QAASiB,OAAO2M,EAAgB,QAChC1N,MAAO,UAEL4N,EAAgB,CAClB9N,QAAS,eAEP+N,EAAe,CACjB/N,QACE,kVACFmB,YAAY,EACZjB,MAAO,WAEL8N,EAAO,CACThO,QAAS,6CACTE,MAAO,UACPiB,YAAY,GAEVrB,EAAU,CACZ,mBACA,CACEE,QAAS,0BACTmB,YAAY,IAGZpB,EAAS,CACXC,QAASiB,OAAO2M,GAChB3N,QAAQ,GAENO,EAAc,qBACdyI,EAAO,CACTjJ,QAAS,gBACTE,MAAO,WAEL+N,EAAO,CACT/L,SAAU+G,EACV,YAAa,CACXjJ,QAAS,kBACTmB,YAAY,GAEdZ,SAAU,IACV,iBAAkBuN,EAClBI,IAAK,CACHlO,QAAS,UACTE,MAAO,WAETG,OAAQA,EACR,mBAAoBwN,EACpBrN,YAAaA,EACbT,OAAQA,GAENoO,EAAS,CACXnO,QAAS,gCACToB,OAAQ,CACNd,QAAS,wBACT8N,OAAQ,IACRD,OAAQ,CACNnO,QAAS,oBACTE,MAAO,YAITmO,EAAY,CACdrO,QAAS,iDACToB,OAAQ,CACNd,QAAS,mBACT6N,OAAQ,CACNnO,QAAS,cACTE,MAAO,YAIToO,EAAmB,CACrBtO,QACE,iLACFmB,YAAY,EACZjB,MAAO,WAELqO,EAAkB,CACpBvO,QAAS,gEACTmB,YAAY,EACZjB,MAAO,WAELsO,EACF,+iCACGzN,OACD0N,EAAa,CACfzO,QAASiB,OACP,+CAA+CF,OAAOgC,QACpD,UACA,WACE,OAAOyL,CACT,IAEF,KAEFrN,YAAY,EACZC,OAAQ,CACNd,QAASW,OACP,sBAAsBF,OAAOgC,QAAQ,UAAU,WAC7C,OAAOyL,CACT,IACA,KAEFE,OAAQ,CACN1O,QAAS,cACTE,MAAO,WAETJ,QAASA,EACToC,SAAU+G,EACV,YAAagF,EAAK,aAClB1N,SAAU0N,EAAK1N,SACfoO,SAAUV,EAAKC,IACf7N,OAAQA,EACR,mBAAoBwN,EACpBrN,YAAaA,EACbT,OAAQA,IAGRmK,EAAW,CACblK,QACE,yxBACFmB,YAAY,GAEdvB,EAAMC,UAAU8N,IAAM,CACpBiB,UAAW,CACT5O,QAAS,uDACTmB,YAAY,EACZjB,MAAO,SACPkB,OAAQ,CACNd,QAAS,CACPN,QAAS,8BAEXQ,YAAa,MAGjB,WAAY,CACVR,QACE,+FACFmB,YAAY,EACZC,OAAQ,CACNoD,IAAK,CACHxE,QAASiB,OACP,oKAAoKF,OAAOgC,QACzK,UACA,WACE,OAAO6K,CACT,IAEF,MAEF1N,MAAO,eACPkB,OAAQxB,EAAMC,UAAU2E,KAE1B,oBAAqB8J,EACrB,iBAAkB,CAChBtO,QACE,mFACFmB,YAAY,EACZjB,MAAO,WAETG,OAAQA,EACR,mBAAoBwN,EACpBrN,YAAaA,EACbT,OAAQA,IAGZ,cAAe,CACbC,QACE,0FACFmB,YAAY,EACZC,OAAQ,CACNtB,QAASA,EACT+O,OAAQ,CACN7O,QAASiB,OACP,iFAAiFF,OAAOgC,QACtF,UACA,WACE,OAAO6K,CACT,IAEF,MAEFzM,YAAY,EACZjB,MAAO,kBACPkB,OAAQxB,EAAMC,UAAUgP,QAE1BvO,QAAS4J,EACT,mBAAoBqE,EACpB,oBAAqBD,EACrBjO,OAAQA,EACR,mBAAoBwN,EACpBrN,YAAaA,EACbT,OAAQA,IAGZ,WAAY,CACVC,QACE,uFACFmB,YAAY,EACZC,OAAQ,CACNtB,QAASA,EACTgP,IAAK,CACH9O,QAASiB,OACP,iFAAiFF,OAAOgC,QACtF,UACA,WACE,OAAO6K,CACT,IAEF,MAEFzM,YAAY,EACZjB,MAAO,eACPkB,OAAQxB,EAAMC,UAAUiP,KAE1BxO,QAAS4J,EACT,mBAAoBqE,EACpB,oBAAqBD,EACrBjO,OAAQA,EACR,mBAAoBwN,EACpBrN,YAAaA,EACbT,OAAQA,IAGZ,WAAY,CACVC,QACE,mFACFmB,YAAY,EACZC,OAAQ,CACNtB,QAASA,EACT,gBAAiB,CACfE,QAAS,kCACTmB,YAAY,EACZC,OAAQ,CACNkD,UAAW,CACTtE,QAAS,qBACToB,OAAQ,CACNd,QAAS,qBAGb4C,KAAM+K,IAGV,cAAeQ,EACfnK,UAAW,CACTtE,QACE,6DACFmB,YAAY,EACZC,OAAQ6M,GAEVD,KAAMA,EACN1N,QAAS4J,EACThI,SAAU+G,EACVkF,OAAQA,EACRE,UAAWA,EACX,oBAAqBC,EACrBjO,OAAQA,EACR,mBAAoBwN,EACpBrN,YAAaA,EACbT,OAAQA,IAGZ,YAAa,CACXC,QAASiB,OACP,2CAA2CF,OAAOgC,QAChD,UACA,WACE,OAAO6K,CACT,IAEF,MAEFzM,YAAY,EACZC,OAAQ6M,GAGV,gBAAiBF,EACjB,iBAAkBD,EAClB,yBAA0B,CACxB9N,QACE,2EACFmB,YAAY,EACZC,OAAQ,CACNc,SAAU,CACRlC,QAAS,gDACTE,MAAO,WAET,gBAAiB6N,EACjB,iBAAkBD,EAClB,eAAgB,CACd9N,QAAS,uBAEXQ,YAAaA,IAGjB,oBAAqB,CACnBR,QAAS,sBACToB,OAAQ,CACNd,QAAS,YAGb,YAAa,CACXN,QAAS,qBACToB,OAAQ,CACNd,QAAS,WAIb6C,MAAO,CACLnD,QAAS,cACTE,MAAO,WAET6O,MAAO,CACL/O,QAAS,2BACToB,OAAQ,CACN2N,MAAO,CACL7O,MAAO,UACPF,QAAS,WAEXF,QAASA,EACTO,OAAQA,EACR,mBAAoBwN,IAGxB,eAAgB,CACd7N,QAAS,0CACTmB,YAAY,EACZC,OAAQ6M,GAEV,cAAeQ,EACf3O,QAASA,EACToC,SAAU+G,EACVkF,OAAQA,EACRE,UAAWA,EACX,mBAAoBR,EACpB1H,SAAU,CAERnG,QAASiB,OAAO2M,EAAgB,aAChC1N,MAAO,UAETH,OAAQA,EACRiO,KAAMA,EACN1N,QAAS4J,EAET,mBAAoB,CAClBlK,QAAS,oCACTE,MAAO,YAGTG,OAAQA,EACRE,SAAU,8DACVC,YAAaA,EAEhB,CArWA,CAqWEZ,EACL,CA3WA+N,GAAIlN,YAAc,MAClBkN,GAAIjN,QAAU,G,cCIC,SAASsO,GAAapP,GACnCA,EAAM+B,SAASsN,EAAAA,GACd,SAAWrP,GAIV,IAAIsP,EAAU,CAEZ,wDAAwDnO,OACxD,UAAUA,OACV,2BAA2BA,OAG3B,0CAA0CA,QAC1CqB,KAAK,KACPxC,EAAMC,UAAU,iBAAmB,CACjC2C,QAAS,CACPxC,QAASiB,OAEP,IAAIF,OACF,MAEC,kEACEA,OACD,IAIA,+BAA+BA,OACjC,KAEA,cAAcA,OAEd,qFAAqFA,OAAOgC,QAC1F,YACA,WACE,OAAOmM,CACT,IAEJ,KAEFjP,QAAQ,EACRmB,OAAQ,CACN+N,KAAM,CAIJnP,QAAS,WACTE,MAAO,cACPkB,OAAQ,CACNgO,KAAM,uCACN5O,YAAa,IACbkI,KAAM,YAGV2G,KAAM,CACJrP,QAAS,uBACTmB,YAAY,EACZjB,MAAO,gBACPkB,OAAQxB,EAAMC,UAAUwP,MAE1B,eAAgB,CACdrP,QAAS,SACTE,MAAO,eAIboP,OAAQ,yBAEV1P,EAAMC,UAAU,cAAgBD,EAAMC,UAAwB,aAC5DD,EAAMC,UAAU,gBACnB,CArEA,CAqEED,EACL,CCzEe,SAAS2P,GAAM3P,GAI5BA,EAAMC,UAAU0P,MAAQ,CACtBzP,QAAS,MACTC,OAAQ,CACNC,QAAS,gEACTC,QAAQ,GAEV,aAAc,CACZD,QACE,oEACFmB,YAAY,EACZC,OAAQ,CACN,aAAc,CACZpB,QAAS,iCACTmB,YAAY,GAEdiC,UAAW,CACTpD,QAAS,iCACTmB,YAAY,EACZC,OAAQ,CACNZ,YAAa,OAGjBiC,QAAS,OAGbA,QAAS,CACP,CAEEzC,QAAS,wBACTmB,YAAY,GAEd,CAEEnB,QAAS,uBACTmB,YAAY,IAGhBb,QAAS,CACP,CACEN,QAAS,mBACTmB,YAAY,GAEd,CACEnB,QAAS,4BACTmB,YAAY,GAEd,CACEnB,QACE,0JACFmB,YAAY,IAGhBe,SAAU,CACRlC,QAAS,qCACTmB,YAAY,GAEdiL,MAAO,CACLpM,QAAS,cACTE,MAAO,YAETyB,SAAU,CACR3B,QAAS,8BACTmB,YAAY,EACZjB,MAAO,YAETC,QAAS,CACPH,QAAS,sCACTmB,YAAY,GAEdd,OAAQ,CACNL,QACE,sJACFmB,YAAY,GAEdyD,MAAO,CACL5E,QAAS,SACTmB,YAAY,EACZjB,MAAO,YAETK,SAAU,gBACVC,YAAa,YAEjB,CCtFe,SAASgP,GAAU5P,GAChCA,EAAMC,UAAU2P,UAAY,CAC1B1P,QAAS,CACPE,QAAS,iBACTC,QAAQ,GAEV+C,KAAM,CACJhD,QAAS,MACTC,QAAQ,GAEVF,OAAQ,CACNC,QAAS,iBACTC,QAAQ,GAEVsC,OAAQ,oDACR,kBAAmB,CACjBvC,QAAS,oBACTmB,YAAY,EACZC,OAAQ,CACNkB,SAAU,aACV9B,YAAa,OAGjB,sBAAuB,CACrBR,QAAS,YACToB,OAAQ,CACNkB,SAAU,YACV9B,YAAa,OAGjBF,QAAS,6BACTH,QAAS,qBACTE,OAAQ,CACN,2CACA,8BAEFE,SAAU,iDACVC,YAAa,iBAEjB,CCtCe,SAASiP,GAAO7P,GAC7BA,EAAM+B,SAAS2H,GAAAA,GACd,SAAW1J,GACVA,EAAMC,UAAU4P,OAAS,CACvB3P,QAAS,CACPE,QAAS,oBACTC,QAAQ,GAEV,eAAgB,CACdD,QAAS,4BACTC,QAAQ,EACRmB,OAAQ,CACNqO,OAAQ,CACNzP,QAAS,sBACToB,OAAQ,MAGVsO,IAAK,CACH1P,QAAS,UACTE,MAAO,eACPkB,OAAQxB,EAAMC,UAAU6P,OAI9B3P,OAAQ,CACN,CACEC,QAAS,wBACTC,QAAQ,EACRmB,OAAQ,CACNW,cAAe,CACb/B,QAAS,qBACToB,OAAQ,CACN,4BAA6B,CAC3BpB,QAAS,cACTE,MAAO,eAET4I,WAAY,CACV9I,QAAS,UACToB,OAAQ,QAKdkB,SAAU,UAGd,CACEtC,QAAS,wBACTC,QAAQ,IAGZK,QAAS,CACPN,QAAS,6BACTmB,YAAY,EACZlB,QAAQ,GAEVgC,UAAW,CACTjC,QAAS,aACTC,QAAQ,EACRC,MAAO,eAETG,OAAQ,+DACRiC,SAAU,CACR,cACA,cACA,CACEtC,QAAS,kCACTmB,YAAY,GAEd,CACEnB,QAAS,sBACTmB,YAAY,IAGhBe,SAAU,CACRlC,QAAS,wCACTmB,YAAY,GAEd,YAAa,uBACbhB,QAAS,mCACTK,YAAa,oBACbD,SAAU,CACR,mCACA,iDACA,mDAGJX,EAAMC,UAAU4P,OAAO,gBAAgBrO,OAAOqO,OAAOrO,OACnDxB,EAAMC,UAAU4P,OAClB7P,EAAMC,UAAU4P,OAAO1P,OAAO,GAAGqB,OAAOW,cAAcX,OAAO0H,WAAW1H,OACtExB,EAAMC,UAAU4P,OAClB,IAAI1P,EAAS,8CACT4P,EAAgB1O,OAElB,mBAAmBF,OACjB,IAEA,2BAA2BA,OAC3B,IAEA,uEAAuEA,OAAOgC,QAC5E,UACA,WACE,OAAOhD,EAAOgB,MAChB,IAEJ,KAIFnB,EAAM2J,MAAMC,IAAI,mBAAmB,SAAUC,GAC3C,IAEImG,GAAoB,EACxBhQ,EAAMC,UAAU,qBAAqB8J,kBACnCF,EACA,SACAkG,GACA,SAAUE,GAKR,MAXmB,eAQfA,IACFD,GAAoB,IAEjBA,IAZgB,cAafC,IACFD,GAAoB,IAEf,EAGX,GAEJ,IAGAhQ,EAAM2J,MAAMC,IAAI,kBAAkB,SAAUC,GAC1C7J,EAAMC,UAAU,qBAAqB+J,qBAAqBH,EAAK,SACjE,GACD,CAxIA,CAwIE7J,EACL,CC5Ie,SAASkQ,GAAIlQ,IAGzB,SAAWA,GACV,IAAIsK,EACF,0PACFtK,EAAMC,UAAUiQ,IAAM,CAEpBhQ,QACE,4EACFC,OAAQ,CACNC,QAAS,sBACTC,QAAQ,GAEV,aAAc,CACZ,CAOED,QAASiB,OACP,2HAA2HF,OACxHgC,QAAQ,eAAe,WACtB,MAAO,iBAAiBhC,MAC1B,IACCgC,QAAQ,eAAe,WACtB,MAAO,6FACJhC,MACL,IACCgC,QAAQ,cAAc,WACrB,MAAO,+BAA+BhC,MACxC,IACCgC,QAAQ,cAAc,WACrB,OAAOmH,EAASnJ,MAClB,IACF,KAEFI,YAAY,EACZlB,QAAQ,EACRmB,OAAQ,MAEV,CACEpB,QACE,yFACFmB,YAAY,IAGhBe,SAAU,CACRlC,QAAS,qCACTmB,YAAY,GAEdb,QAAS4J,EACT5H,SAAU,CACRtC,QAAS,oBACTmB,YAAY,GAEdd,OAAQ,iDACR0P,KAAM,CACJ/P,QAAS,2BACTE,MAAO,YAETC,QAAS,sBACTI,SAAU,4CACVC,YAAa,kBAEfZ,EAAMC,UAAUiQ,IAAI,cAAc,GAAG1O,OAASxB,EAAMC,UAAUiQ,IAC9DlQ,EAAMC,UAAUmQ,MAAQpQ,EAAMC,UAAUiQ,GACzC,CAlEA,CAkEElQ,EACL,CCrEe,SAASqQ,GAASrQ,GAC/BA,EAAM+B,SAASC,EAAAA,GACfhC,EAAMC,UAAUoQ,SAAWrQ,EAAMC,UAAUgC,OAAO,QAAS,CACzD,aAAc,CACZ7B,QACE,0EACFmB,YAAY,GAEdb,QACE,+YACFC,SAAU,qEAEZX,EAAMC,UAAUiC,aAAa,WAAY,UAAW,CAClDW,QACE,gMAEJ7C,EAAMC,UAAUiC,aAAa,WAAY,SAAU,CACjDoO,QAAS,CACPlQ,QAAS,6BACTmB,YAAY,EACZjB,MAAO,YAGXN,EAAMC,UAAUsQ,IAAMvQ,EAAMC,UAAUoQ,QACxC,CCzBe,SAASG,GAAaxQ,IAClC,SAAWA,GACV,IAAIyQ,EAAO,CAETrQ,QAAS,+DACTE,MAAO,WACPkB,OAAQ,CACNZ,YAAa,SAGjBZ,EAAMC,UAAU,iBAAmB,CACjCC,QAAS,CACPE,QAAS,MACTC,QAAQ,GAEVF,OAAQ,CACNC,QAAS,0BACTC,QAAQ,EACRmB,OAAQ,CACNiP,KAAMA,IAGVC,OAAQ,CAMNtQ,QACE,kHACFmB,YAAY,EACZlB,QAAQ,EACRC,MAAO,WAETsD,SAAU,CACRxD,QAAS,kDACTmB,YAAY,EACZC,OAAQ,CACNiP,KAAMA,IAGVA,KAAMA,EACNhQ,OAAQ,oBACRF,QAAS,qBACTI,SAAU,IACVC,YAAa,SAEfZ,EAAMC,UAAe,IAAID,EAAMC,UAAU,gBAC1C,CA/CA,CA+CED,EACL,CChDe,SAAS2Q,GAAI3Q,GAC1BA,EAAM+B,SAAS2H,GAAAA,GACd,SAAW1J,GACV,IAAIgO,EAAgB,iDAChB4C,EAAgB,oDACpB5Q,EAAMC,UAAU0Q,IAAM,CACpBzQ,QAAS,CACP,mBACA,CACEE,QAAS,aACTmB,YAAY,EACZlB,QAAQ,IAGZ,cAAe,CACbD,QACE,0FACFmB,YAAY,EACZjB,MAAO,SACPkB,OAAQ,CACNZ,YAAa,OAGjBiQ,UAAW,CACTzQ,QAAS,oCACTmB,YAAY,EACZjB,MAAO,YAETI,QAAS,CACP,CACEN,QACE,mNACFmB,YAAY,GAEd,2FAEFc,UAAW,CACTjC,QAAS,kBACTE,MAAO,eAETsD,SAAU,WACVlB,SAAU,CACRtC,QAAS,2CACToB,OAAQ,CACNrB,OAAQ,CACNC,QAAS4N,EACT3N,QAAQ,GAEVI,OAAQmQ,EACRhQ,YAAa,aAGjBT,OAAQ,CACNC,QAAS4N,EACT3N,QAAQ,GAEViC,SAAU,CACR,YACA,CACElC,QAAS,oBACTmB,YAAY,IAGhBhB,QAAS,qBACTE,OAAQmQ,EACRjQ,SAAU,iDACVC,YAAa,kBAIfZ,EAAM2J,MAAMC,IAAI,mBAAmB,SAAUC,GAC3C,IAGIiH,GAAkB,EACtB9Q,EAAMC,UAAU,qBAAqB8J,kBACnCF,EACA,MANe,kDAQf,SAAUoG,GAKR,MAXiB,eAQbA,IACFa,GAAkB,IAEfA,IAZc,cAabb,IACFa,GAAkB,IAEb,EAGX,GAEJ,IAGA9Q,EAAM2J,MAAMC,IAAI,kBAAkB,SAAUC,GAC1C7J,EAAMC,UAAU,qBAAqB+J,qBAAqBH,EAAK,MACjE,GACD,CAjGA,CAiGE7J,EACL,CCrGe,SAAS+Q,GAAO/Q,GAC7BA,EAAMC,UAAU8Q,OAAS,CACvB7Q,QAAS,CACPE,QAAS,MACTC,QAAQ,GAEV,mBAAoB,CAClBD,QACE,sEACFC,QAAQ,EACRC,MAAO,SACPkB,OAAQ,CACNtB,QAAS,QAGbC,OAAQ,CACNC,QAAS,8CACTC,QAAQ,GAEVkE,IAAK,CACHnE,QACE,oEACFC,QAAQ,EACRmB,OAAQ,CACNZ,YAAa,SAGjB0B,SAAU,CACRlC,QACE,kGACFoB,OAAQ,CACN,aAAc,CACZpB,QAAS,kBACTmB,YAAY,GAEdyP,OAAQ,CACN5Q,QAAS,UACToB,OAAQ,CACNZ,YAAa,QAKrBH,OAAQ,sCACRG,YAAa,oBACbL,QAAS,qBACTG,QAAS,CAAC,4BAA6B,8BACvCsF,IAAK,CACH5F,QAAS,yBACToB,OAAQ,CACNZ,YAAa,OAInBZ,EAAMC,UAAUgR,KAAOjR,EAAMC,UAAkB,MACjD,CCtDe,SAASiR,GAAOlR,GAC7BA,EAAM+B,SAASoP,IACfnR,EAAMC,UAAUiR,OAASlR,EAAMC,UAAUgC,OAAO,SAAU,CACxD1B,QAAS,sBACTmC,SAAU,CACRtC,QAAS,UACTC,QAAQ,KAGZL,EAAMC,UAAUiC,aAAa,SAAU,cAAe,CACpDxB,QAAS,CACP,8SACA,0YACA,gCAGJV,EAAMC,UAAUmR,GAAKpR,EAAMC,UAAUiR,MACvC,CClBe,SAASG,GAAUrR,GAChCA,EAAMC,UAAU,cAAgB,CAC9BC,QAAS,iCACTC,OAAQ,CACNC,QAAS,oBACTC,QAAQ,GAGVK,QACE,8tCACF,gBAAiB,CACfN,QAAS,gCACTE,MAAO,YAETgC,SAAU,iBACVsB,SAAU,qBACV+I,KAAM,CAEJvM,QAAS,oDACTE,MAAO,UAETG,OAAQ,oBACRF,QAAS,0BACTI,SAAU,mBACVC,YAAa,WAEjB,CCzBe,SAAS0Q,GAAItR,GAC1BA,EAAM+B,SAASC,EAAAA,GACfhC,EAAMC,UAAUqR,IAAMtR,EAAMC,UAAUgC,OAAO,QAAS,CACpD9B,OAAQ,CACNC,QAAS,sCACTC,QAAQ,GAEVK,QACE,mRACFH,QAAS,sBACT+B,SACE,+h/BACF7B,OACE,qEACFE,SAAU,2DACV,iBAAkB,CAChBP,QACE,oJACFE,MAAO,WAETwC,SAAU,2BAEZ9C,EAAMC,UAAUiC,aAAa,MAAO,SAAU,CAC5CqB,MAAO,CACLnD,QAAS,oDACTmB,YAAY,EACZlB,QAAQ,EACRC,MAAO,WACPkB,OAAQ,CACNsD,UAAW,CACT1E,QAAS,aACTE,MAAO,WAETJ,QAASF,EAAMC,UAAUqR,IAAIpR,mBAI5BF,EAAMC,UAAUqR,IAAI,aAC7B,CCtCe,SAASC,GAASvR,GAC/BA,EAAM+B,SAASC,EAAAA,GACfhC,EAAMC,UAAUsR,SAAWvR,EAAMC,UAAUgC,OAAO,QAAS,CACzD/B,QAAS,CACPF,EAAMC,UAAUuR,MAAe,QAAE,GACjC,CACEpR,QAAS,yBACTmB,YAAY,EACZlB,QAAQ,IAGZF,OAAQ,CACNC,QAAS,6DACTmB,YAAY,EACZlB,QAAQ,GAEV,aAAc,CACZD,QAAS,wDACTmB,YAAY,EACZC,OAAQ,CACNZ,YAAa,OAGjBF,QACE,yOACFD,OAAQ,wDACRE,SAAU,0DACVC,YAAa,kBAEfZ,EAAMC,UAAUiC,aAAa,WAAY,SAAU,CACjDkB,KAAM,CACJhD,QAAS,6DACTmB,YAAY,EACZlB,QAAQ,KAGZL,EAAMC,UAAUiC,aAAa,WAAY,WAAY,CACnD,wBAAyB,CACvB9B,QAAS,UACTE,MAAO,aAETmR,OAAQ,CACNrR,QAAS,UACTE,MAAO,aAGb,CC/Ce,SAASoR,GAAK1R,IAC1B,SAAWA,GAGV,IAAI2R,EACF,8MACF3R,EAAMC,UAAUyR,KAAO,CACrBxR,QAAS,yCACTC,OAAQ,CAGNC,QAAS,kCACTC,QAAQ,GAEVyE,UAAW,CACT1E,QAAS,yBACTmB,YAAY,EACZjB,MAAO,YAET,eAAgB,CACdF,QAASiB,OACP,IACEsQ,EAAqBxQ,OACrB,WAAWA,OACX,IACA,cAAcA,QAElBI,YAAY,EACZjB,MAAO,YAETsR,WAAY,CACVxR,QAAS,uDACTmB,YAAY,EACZC,OAAQ,CACN0H,WAAY,CACV9I,QAAS,sDACTmB,YAAY,EACZC,OAAQ,MAGVoC,SAAU,sBACVjD,SAAU,IACVC,YAAa,YAGjBF,QAAS,CACP,CACEN,QACE,sFACFE,MAAO,iBAET,mQAEAqR,GAEFrP,SAAU,uBACV7B,OACE,8FACFF,QAAS,qBACTI,SAAU,8CACVC,YAAa,gBAEfZ,EAAMC,UAAUyR,KAAKE,WAAWpQ,OAAO0H,WAAW1H,OAChDxB,EAAMC,UAAUyR,IACnB,CA/DA,CA+DE1R,EACL,CC9De,SAAS6R,GAAM7R,GAC5BA,EAAM+B,SAASiH,GAAAA,GACfhJ,EAAM+B,SAAS+P,GAAAA,GACf9R,EAAM+B,SAASgQ,GAAAA,GAIf/R,EAAMC,UAAU4R,MAAQ,CACtB3R,QAAS,CACP,CACEE,QAAS,iBACTmB,YAAY,EACZlB,QAAQ,GAEV,CACED,QAAS,gCACTmB,YAAY,EACZlB,QAAQ,IAGZ,iBAAkB,CAChBD,QAAS,wCACTC,QAAQ,EACRmB,OAAQ,CACNW,cAAe,CACb/B,QAAS,sDACToB,OAAQ,CACNZ,YAAa,YACbsI,WAAY,CACV9I,QAAS,UACToB,OAAQ,QAKdrB,OAAQ,YAGZ6R,KAAM,CACJ5R,QAAS,0CACTmB,YAAY,EACZlB,QAAQ,EACRC,MAAO,gBACPkB,OAAQxB,EAAMC,UAAU+R,MAE1BC,KAAM,CACJ7R,QAAS,0CACTmB,YAAY,EACZlB,QAAQ,EACRC,MAAO,gBACPkB,OAAQxB,EAAMC,UAAUgS,MAE1BC,OAAQ,CACN9R,QAAS,4CACTmB,YAAY,EACZlB,QAAQ,EACRC,MAAO,kBACPkB,OAAQxB,EAAMC,UAAUiS,QAE1BtP,QAAS,CACPxC,QACE,kQACFmB,YAAY,EACZlB,QAAQ,EACRC,MAAO,WAEToC,SAAU,+CACVhC,QACE,6MACFH,QAAS,iBACTE,OAAQ,4BACR6B,SAAU,qBACV3B,SAAU,mCACVC,YAAa,eAEfZ,EAAMC,UAAU4R,MACd,kBACArQ,OAAOW,cAAcX,OAAO0H,WAAW1H,OAASxB,EAAMC,UAAU4R,KACpE,CdpFAzC,GAAavO,YAAc,gBAC3BuO,GAAatO,QAAU,CAAC,aAAc,gBCFtC6O,GAAM9O,YAAc,QACpB8O,GAAM7O,QAAU,GCDhB8O,GAAU/O,YAAc,YACxB+O,GAAU9O,QAAU,GCApB+O,GAAOhP,YAAc,SACrBgP,GAAO/O,QAAU,GCFjBoP,GAAIrP,YAAc,MAClBqP,GAAIpP,QAAU,CAAC,SCAfuP,GAASxP,YAAc,WACvBwP,GAASvP,QAAU,CAAC,OCFpB0P,GAAa3P,YAAc,gBAC3B2P,GAAa1P,QAAU,CAAC,OCAxB6P,GAAI9P,YAAc,MAClB8P,GAAI7P,QAAU,GCFdiQ,GAAOlQ,YAAc,SACrBkQ,GAAOjQ,QAAU,CAAC,QCAlBoQ,GAAOrQ,YAAc,SACrBqQ,GAAOpQ,QAAU,CAAC,MCFlBuQ,GAAUxQ,YAAc,aACxBwQ,GAAUvQ,QAAU,GCApBwQ,GAAIzQ,YAAc,MAClByQ,GAAIxQ,QAAU,GCDdyQ,GAAS1Q,YAAc,WACvB0Q,GAASzQ,QAAU,GCFnB4Q,GAAK7Q,YAAc,OACnB6Q,GAAK5Q,QAAU,GCEf+Q,GAAMhR,YAAc,QACpBgR,GAAM/Q,QAAU,G,cCAD,SAASqR,GAAcnS,GACpCA,EAAMC,UAAUkS,cAAgB,CAC9BjS,QAAS,CACPE,QACE,yEACFC,QAAQ,GAEVF,OAAQ,CACNC,QAAS,kCACTmB,YAAY,EACZlB,QAAQ,GAEV+C,KAAM,CACJhD,QAAS,sBACTC,QAAQ,GAEVsC,OAAQ,CACNvC,QAAS,wCACTmB,YAAY,EACZlB,QAAQ,GAEVK,QAAS,6CACTH,QAAS,qBACTyE,MAAO,CACL5E,QAAS,sBACTE,MAAO,YAETG,OACE,0HACF,aAAc,eACdE,SACE,gIACFC,YAAa,uBAEfZ,EAAMC,UAAUmS,OAASpS,EAAMC,UAAUkS,aAC3C,CAvCAA,GAActR,YAAc,gBAC5BsR,GAAcrR,QAAU,CAAC,U,eCGV,SAASuR,GAAQrS,IAG7B,SAAWA,GACV,IAAIE,EAAU,CACZE,QAAS,WACTC,QAAQ,GAENiS,EAAe,4CAA4CnR,OAC/DnB,EAAMC,UAAUoS,QAAU,CACxBnS,QAASA,EACTqS,QAAS,CACPnS,QAAS,gCACTC,QAAQ,EACRmB,OAAQ,CACNZ,YAAa,UACb,eAAgB,CACdR,QAAS,UACTE,MAAO,cAIb2D,IAAK,CACH7D,QAAS,uBACTC,QAAQ,EACRC,MAAO,aAET8F,MAAO,CAMLhG,QAASiB,OACP,kBAAkBF,OAEhB,MACAmR,EAHF,oBAOG,UAAUnR,OAAV,wBAICmR,EAJD,KAQC,sCAAsCnR,OACxC,MAEJI,YAAY,EACZlB,QAAQ,EACRC,MAAO,aACPkB,OAAQ,CACNtB,QAASA,EACTsS,OAAQ,CACNpS,QAASiB,OAAO,SAASF,OAASmR,GAClC/Q,YAAY,EACZlB,QAAQ,GAEVO,YAAa,OACbL,QAAS,CACPH,QAAS,iCACTC,QAAQ,KAIdO,YAAa,IAEhB,CApEA,CAoEEZ,EACL,CCxEe,SAASyS,GAAazS,IAClC,SAAWA,GACV,SAAS0S,EAAY1B,EAAQxP,EAAQmR,GACnC,MAAO,CACLvS,QAASiB,OAAO,KAAO2P,EAAS,gBAChC1Q,MAAO,QACPkB,OAAQ,CACNa,UAAW,CACTjC,QAASiB,OAAO,MAAQ2P,EAAS,QACjC1Q,MAAO,aAET8B,QAAS,CACPhC,QAAS,UACToB,OAAQA,EACRlB,MAAOqS,IAIf,CAyBA3S,EAAMC,UAAU,iBAAmB2S,OAAOC,eAAe,CAAC,EAAG,WAAY,CACvEzM,MAzBF,SAAkB0M,GAChB,IAAI9O,EAAUhE,EAAMC,UAAU6S,GAC1BC,EAAY,YAAcD,EAC9B,MAAO,CACLE,MAAO,CACL5S,QAAS,eACToB,OAAQ,CACNsD,UAAW4N,EAAY,IAAK,CAC1B,aAAc,CACZtS,QAAS,mDACToB,OAAQ,CACNZ,YAAa,mBAGjBF,QAAS,cACT,YAAa,UAEfwI,WAAYwJ,EAAY,IAAK1O,EAAS+O,GACtC,gBAAiBL,EAAY,MAAO1O,EAAS+O,GAC7CE,SAAUP,EAAY,GAAI1O,EAAS+O,KAI3C,GAID,CA7CA,CA6CE/S,EACL,CC7Ce,SAASkT,GAAKlT,GAC3BA,EAAM+B,SAASoR,EAAAA,GACfnT,EAAM+B,SAASqR,IACfpT,EAAMC,UAAUoT,GAAKrT,EAAMC,UAAU,SACnCD,EAAMC,UAAU,iBAAiBqT,SAAS,SAC9C,CFXAjB,GAAQxR,YAAc,UACtBwR,GAAQvR,QAAU,GCDlB2R,GAAa5R,YAAc,gBAC3B4R,GAAa3R,QAAU,GCCvBoS,GAAKrS,YAAc,QACnBqS,GAAKpS,QAAU,CAAC,M,eCGD,SAASyS,GAAKvT,GAC3BA,EAAM+B,SAASqR,IACfpT,EAAM+B,SAASyR,GAAAA,GACfxT,EAAMC,UAAU,SAAWD,EAAMC,UAAU,iBAAiBqT,SAAS,QACvE,CCLe,SAASG,GAAIzT,GAC1BA,EAAM+B,SAAS2R,EAAAA,GAGf1T,EAAMC,UAAUwT,IAAM,CACpBE,KAAM,mBACNC,KAAM,eACNC,OAAQ,oBACRC,QAAS,eACTxD,QAAS,mBACTyD,KAAM,yBACNC,QAAS,CACP5T,QAAS,qBACTC,QAAQ,GAEVO,YAAa,OACbkE,UAAW,MACXmP,QAAS,CACP7T,QAAS,2CACTmB,YAAY,EACZC,OAAQxB,EAAMC,UAAU6F,KACxBxF,MAAO,iBAGb,CCzBe,SAAS4T,GAAIlU,GAC1BA,EAAMC,UAAUiU,IAAM,CACpBhU,QAAS,CACPE,QAAS,eACTmB,YAAY,GAEdpB,OAAQ,CACNC,QAAS,sCACTC,QAAQ,GAEVqC,SAAU,CACR,CACEtC,QAAS,oCACTmB,YAAY,GAEd,CACEnB,QAAS,gBACTmB,YAAY,GAEd,CACEnB,QAAS,mDACTmB,YAAY,IAGhBe,SAAU,CACRlC,QAAS,0BACTmB,YAAY,GAEdsB,QAAS,CACP,CACEzC,QACE,+FACFmB,YAAY,GAEd,uBAEF4S,MAAO,CACL/T,QAAS,wCACTmB,YAAY,EACZjB,MAAO,YAETI,QAAS,CACPN,QACE,mvBACFmB,YAAY,GAEdZ,SACE,sEACFC,YAAa,aAEjB,CChDe,SAASwT,GAAIpU,GAC1BA,EAAM+B,SAASC,EAAAA,GACfhC,EAAM+B,SAAS2H,GAAAA,GACd,SAAW1J,GACVA,EAAMC,UAAUmU,IAAMpU,EAAMC,UAAUgC,OAAO,QAAS,CACpD/B,QAAS,sBACTQ,QACE,0NACFE,YAAa,eAEfZ,EAAMC,UAAUiC,aAAa,MAAO,SAAU,CAC5CvB,SAAU,iDACV+B,SAAU,CACRtC,QAAS,mDAGbJ,EAAMC,UAAUiC,aAAa,MAAO,UAAW,CAC7CG,UAAW,CACTjC,QAAS,uBACTE,MAAO,iBAGXN,EAAMC,UAAUiC,aAAa,MAAO,SAAU,CAC5C,uBAAwB,CACtB9B,QAAS,gCACTC,QAAQ,EACRC,MAAO,UAET,uBAAwB,CACtBF,QAAS,gCACTC,QAAQ,EACRC,MAAO,SACPkB,OAAQ,CACNkB,SAAU,CACRtC,QAAS,wDAOVJ,EAAMC,UAAUmU,IAAIjU,OAC3BH,EAAM2J,MAAMC,IAAI,mBAAmB,SAAUC,GAE3C7J,EAAMC,UAAU,qBAAqB8J,kBACnCF,EACA,MAHe,kBAMnB,IACA7J,EAAM2J,MAAMC,IAAI,kBAAkB,SAAUC,GAC1C7J,EAAMC,UAAU,qBAAqB+J,qBAAqBH,EAAK,MACjE,GACD,CAlDA,CAkDE7J,EACL,CCxDe,SAASqU,GAAKrU,IAC1B,SAAWA,GACV,IAAIiE,EAAM,+CAA+C9C,OAKzD,SAASmT,EAAUlU,GACjB,OAAOA,EAAQ+C,QAAQ,OAAO,WAC5B,OAAOc,CACT,GACF,CACAjE,EAAMC,UAAUoU,KAAO,CACrBnU,QAAS,CACPE,QAAS,MACTC,QAAQ,GAEV+G,MAAO,CACLhH,QAASiB,OACPiT,EACE,qDAAqDnT,QAEvD,KAEFI,YAAY,EACZlB,QAAQ,EACRC,MAAO,cAET2D,IAAK,CACH7D,QAASiB,OACPiT,EAAU,6CAA6CnT,QACvD,KAEFI,YAAY,EACZlB,QAAQ,EACRC,MAAO,YAETH,OAAQ,CACNC,QACE,8EACFC,QAAQ,GAEVsM,KAAM,CACJ,CAEEvM,QACE,oFACFE,MAAO,UAET,CAEEF,QAAS,kCACTE,MAAO,WAGXG,OACE,2KACFF,QAAS,qBACTK,YAAa,aAEhB,CA3DA,CA2DEZ,EACL,CC7De,SAASuU,GAAOvU,IAC5B,SAAWA,GACVA,EAAMC,UAAUsU,OAAS,CACvBrU,QAAS,CACPE,QAAS,gDACTmB,YAAY,GAEd,sBAAuB,KAEvBiT,UAAW,CACTpU,QAAS,oDACTC,QAAQ,EACRmB,OAAQ,CACNT,MAAO,CACLX,QAAS,iBACTmB,YAAY,GAEde,SAAU,OACV8D,MAAO,cAGXhC,WAAY,CACVhE,QAAS,UACTC,QAAQ,GAEViC,SAAU,oCACV5B,QACE,mUACFH,QAAS,2BACTE,OACE,+EACF,sBAAuB,CACrBL,QAAS,aACTE,MAAO,eAETK,SACE,wFACFC,YAAa,uBAEf,IAAI6T,EACF,kEAAkEtT,OACpEnB,EAAMC,UAAUsU,OAAO,uBAAyB,CAC9CnU,QAASiB,OACP,YAAYF,OAAZ,YAGE,mCAAmCA,OACnC,IACAsT,EALF,aASE,wCAAwCtT,OACxC,IACAsT,EAXF,QAeFlT,YAAY,EACZlB,QAAQ,EACRmB,OAAQ,CACNW,cAAe,CACb/B,QAASiB,OAAOoT,GAChBjT,OAAQ,CACNZ,YAAa,WACbsI,WAAY,CACV9I,QAAS,UACToB,OAAQxB,EAAMC,UAAUsU,UAI9BpU,OAAQ,YAGZH,EAAMC,UAAUyU,KAAO1U,EAAMC,UAAkB,OAC/CD,EAAMC,UAAU0U,QAAU3U,EAAMC,UAAkB,MACnD,CA3EA,CA2EED,EACL,CC7Ee,SAAS4U,GAAW5U,IAChC,SAAWA,GACV,IAAIsK,EACF,gcACFtK,EAAMC,UAAU2U,WAAa,CAC3B1U,QAAS,CACP,CAEEE,QAAS,kCACTmB,YAAY,GAEd,CAGEnB,QAAS,2CACTmB,YAAY,EACZlB,QAAQ,GAEV,CAEED,QAAS,eACTmB,YAAY,EACZlB,QAAQ,IAGZiC,SAAU,CACR,CAEElC,QACE,sEACFoB,OAAQ,CACNrB,OAAQ,CACNC,QAAS,0BACToB,OAAQ,CACNd,QAAS4J,IAGb5J,QAAS,CACPN,QAAS,wBAIf,CAEEA,QAAS,wCACToB,OAAQ,CACNrB,OAAQ,6BAIdA,OAAQ,CACNC,QAAS,+BACTmB,YAAY,EACZC,OAAQ,CACNc,SAAU,WAEV5B,QAAS4J,EACT7J,OAAQ,QACRG,YAAa,UAGjBF,QAAS4J,EACT7J,OAAQ,CAENL,QAAS,gBACToB,OAAQ,CACNb,SAAU,UAGdqF,IAAK,CACH5F,QAAS,iBACToB,OAAQ,CACNZ,YAAa,OAGjBA,YAAa,iBACbD,SAAU,gDAEZX,EAAMC,UAAU4U,SAAW7U,EAAMC,UAAU2U,UAC5C,CA9EA,CA8EE5U,EACL,CChFe,SAAS8U,GAAa9U,GACnCA,EAAMC,UAAU6U,aAAe,CAC7B5U,QAAS,0BACTC,OAAQ,CACNC,QAAS,iDACTC,QAAQ,GAEV0U,SAAU,CACR3U,QACE,kEACFmB,YAAY,EACZlB,QAAQ,EACRC,MAAO,YAET0U,SAAU,CACR5U,QAAS,+DACTmB,YAAY,EACZlB,QAAQ,EACRmB,OAAQ,CACNoC,SAAU,gBACVjD,SAAU,IACVC,YAAa,UAGjB2C,MAAO,CACLnD,QAAS,OACTE,MAAO,YAET,aAAc,CACZF,QACE,0EACFmB,YAAY,GAEdb,QACE,k2BACF4B,SAAU,wBACV/B,QAAS,qBACTE,OAAQ,4DAERE,SACE,2FACFC,YAAa,gBAEfZ,EAAMC,UAAUgV,GAAKjV,EAAMC,UAAUiV,QAAUlV,EAAMC,UAAU6U,YACjE,CC5Ce,SAASK,GAAQnV,GAC9BA,EAAMC,UAAUkV,QAAU,CACxB,eAAgB,CACd/U,QAAS,MACTE,MAAO,UACPD,QAAQ,GAEV,gBAAiB,CACfD,QAAS,SACTE,MAAO,UACPD,QAAQ,GAEVF,OAAQ,CACNC,QAAS,kCACToB,OAAQ,CACNZ,YAAa,eAEfP,QAAQ,GAEV,gBAAiB,CACfD,QACE,6TACFE,MAAO,YAET,kBAAmB,CACjBF,QACE,0rBACFE,MAAO,YAET,WAAY,CACVF,QAAS,iBACTmB,YAAY,EACZjB,MAAO,WAET+B,UAAW,CACTjC,QAAS,sBACTE,MAAO,eAETgC,SACE,yfACF5B,QACE,uGACFH,QAAS,0BACTE,OAAQ,+DACRE,SAAU,CACR,CACEP,QACE,wFACFmB,YAAY,GAEd,uCAEFX,YAAa,gBAEjB,CCrDe,SAASwU,GAAEpV,GACxBA,EAAM+B,SAASC,EAAAA,GACd,SAAWhC,GACV,IAAIyK,EAAoB,CACtBrK,QAAS,UACToB,OAAQ,MAEVxB,EAAMC,UAAUmV,EAAIpV,EAAMC,UAAUgC,OAAO,QAAS,CAClD9B,OAAQ,CACNC,QAAS,mDACTE,MAAO,gBACPD,QAAQ,EACRmB,OAAQ,CACNW,cAAe,CACb/B,QACE,sFACFmB,YAAY,EACZC,OAAQ,CACN,yBAA0B,CACxBpB,QAAS,gBACTE,MAAO,YAET,4BAA6B,CAC3BF,QAAS,YACTE,MAAO,eAET,2BAA4BmK,MAKpC,aAAc,CACZrK,QAAS,mDACTmB,YAAY,GAEdb,QACE,sRACFD,OACE,4GACFE,SACE,8FACFkC,QACE,sIAEJ4H,EAAkBjJ,OAASxB,EAAMC,UAAUmV,EAC3CpV,EAAMC,UAAUiC,aAAa,IAAK,SAAU,CAC1CkB,KAAM,CACJhD,QAAS,yBAETE,MAAO,UAGXN,EAAMC,UAAUiC,aAAa,IAAK,WAAY,CAC5CmB,UAAW,CACTjD,QACE,+GACFmB,YAAY,EACZjB,MAAO,aACPkB,OAAQ,CACNZ,YAAa,SACbF,QAAS,QAGbgF,QAAS,CACPtF,QAAS,qBACToB,OAAQ,CACNZ,YAAa,OACb,aAAc,UAIpBZ,EAAMC,UAAUiC,aAAa,IAAK,WAAY,CAC5C,mBAAoB,CAElB9B,QAAS,sBACToB,OAAQ,CACNc,SAAU,OACVoD,QAAS,CACPtF,QAAS,QACToB,OAAQxB,EAAMC,UAAUmV,EAAE1P,QAAQlE,WAK3C,CAlFA,CAkFExB,EACL,CCrFe,SAASqV,GAAKrV,GAC3BA,EAAM+B,SAASC,EAAAA,GACfhC,EAAMC,UAAUoV,KAAOrV,EAAMC,UAAUgC,OAAO,QAAS,CAErD,aAAc,CACZ,CAEE7B,QAAS,kDACToB,OAAQ,CACNZ,YAAa,OAGjB,CAEER,QAAS,2BACTmB,YAAY,EACZC,OAAQ,CACNZ,YAAa,OAGjB,CAEER,QACE,0EACFmB,YAAY,EACZC,OAAQ,CACNZ,YAAa,OAGjB,CAEER,QACE,oFACFmB,YAAY,EACZC,OAAQ,CACNZ,YAAa,QAInBF,QACE,4kBACF4B,SAAU,iBACV7B,OACE,2EACFE,SACE,oEACFC,YAAa,gBACbkC,SAAU,mBAEZ9C,EAAMC,UAAUiC,aAAa,OAAQ,SAAU,CAC7C,aAAc,CACZ9B,QAAS,iBACTC,QAAQ,EACRC,MAAO,UAET,kBAAmB,CACjBF,QAAS,cACTC,QAAQ,EACRmB,OAAQ,CACNW,cAAe,CACb/B,QAAS,8BACToB,OAAQ,CACNa,UAAW,CACTjC,QAAS,aACTE,MAAO,eAETgD,KAAMtD,EAAMC,UAAUoV,OAG1BlV,OAAQ,cAIdH,EAAMC,UAAUiC,aAAa,OAAQ,UAAW,CAC9CnB,MAAO,CACLX,QACE,0FACFC,QAAQ,EACRmB,OAAQ,CACN,eAAgB,CACdpB,QAAS,4BACTmB,YAAY,EACZjB,MAAO,iBACPkB,OAAQxB,EAAMC,UAAUc,OAE1B,kBAAmB,MACnB,cAAe,cAIvB,CC1Fe,SAASuU,GAAStV,GAC/BA,EAAM+B,SAAS2E,EAAAA,GACd,SAAW1G,GACVA,EAAMC,UAAUqV,SAAWtV,EAAMC,UAAUgC,OAAO,SAAU,CAAC,GAC7D,IAAIqT,EAAW,CACb5S,SAAU,CACRtC,QACE,4GACFmB,YAAY,EACZC,OAAQ,CAAC,GAGXrB,OAAQ,CACNC,QAAS,kBACTC,QAAQ,GAEVI,OAAQ,UACRF,QAAS,qBACTI,SACE,iEACFC,YAAa,gBAEf0U,EAAS5S,SAASlB,OAAS,CACzBrB,OAAQmV,EAAiB,OACzBhT,SAAU,CACRlC,QAAS,4BACTmB,YAAY,GAEdd,OAAQ6U,EAAiB,OACzB/U,QAAS+U,EAAkB,QAC3B1U,YAAa0U,EAAsB,aAErCtV,EAAMC,UAAUiC,aAAa,WAAY,UAAW,CAClDqT,SAAU,CACRnV,QAAS,8BACTmB,YAAY,EACZlB,QAAQ,EACRmB,OAAQ,CACNZ,YAAa,kBAGjB,mBAAoB,CAClB,CACER,QAAS,0BACTmB,YAAY,EACZlB,QAAQ,EACRC,MAAO,WAET,CACEF,QAAS,gBACTmB,YAAY,EACZlB,QAAQ,EACRC,MAAO,YAGXwE,UAAW,CACT1E,QACE,yFACFmB,YAAY,EACZC,OAAQ,CACNd,QAAS,CACPN,QAAS,6CACToB,OAAQ,CACNZ,YAAa,SAGjB0C,KAAMgS,IAGV5S,SAAU4S,EAAmB,WAE/BtV,EAAMC,UAAUqV,SAAc,IAAE9T,OAAO,cAAcA,OAAO8B,KAC1DtD,EAAMC,UAAUqV,QACnB,CAvEA,CAuEEtV,EACL,CC3Ee,SAASwV,GAAQxV,GAC9BA,EAAMC,UAAUuV,QAAU,CACxBtV,QAAS,CACPE,QAAS,0BACTC,QAAQ,GAEVF,OAAQ,CACNC,QAAS,sCACTC,QAAQ,GAEV,kBAAmB,CAEjBD,QAAS,YACTE,MAAO,YAGTwC,SAAU,WACVR,SAAU,cAEV5B,QACE,gmDAEFmD,UAAW,4DAEXpD,OACE,4EACFE,SAAU,uBACVC,YAAa,cAEjB,CC7Be,SAAS6U,GAAKzV,GAC3BA,EAAMC,UAAUwV,KAAO,CACrBvV,QAAS,OAET,eAAgB,CACdE,QAAS,qCACTE,MAAO,UAGT,kBAAmB,CACjBF,QAAS,eACTE,MAAO,YAETH,OAAQ,sCACRkD,UAAW,CACTjD,QAAS,SACTE,MAAO,aAGTI,QACE,4iBACFH,QAAS,sBACT+B,SAAU,YAEV7B,OAAQ,+DACRE,SACE,+FACFC,YAAa,gBAEjB,CC7Be,SAAS8U,GAAI1V,GAC1BA,EAAMC,UAAUyV,IAAM,CACpBvV,OAAQ,2CACRD,QAAS,MACToC,SAAU,cACV5B,QACE,4xJACFmC,QACE,40RACFpC,OAAQ,oCACRE,SACE,4EACFC,YAAa,eAEjB,CCde,SAAS+U,GAAY3V,GAClCA,EAAMC,UAAU,gBAAkB,CAChCC,QAAS,CACPE,QAAS,yDACToB,OAAQ,CACNd,QAAS,UAGboE,UAAW,CACT1E,QACE,oGACFE,MAAO,WACPD,QAAQ,GAEVF,OAAQ,CACNC,QAAS,+EACTC,QAAQ,GAEVsM,KAAM,CACJvM,QACE,qKACFE,MAAO,UAETG,OACE,+EACFF,QAAS,8BACTG,QACE,6gCACFC,SAAU,0CACVC,YAAa,cAEfZ,EAAMC,UAAU2V,GAAK5V,EAAMC,UAAU,gBACrCD,EAAMC,UAAU4V,IAAM7V,EAAMC,UAAU,eACxC,CCjCe,SAAS6V,GAAW9V,GACjCA,EAAMC,UAAU6V,WAAa,CAC3B5V,QAAS,8BACTC,OAAQ,CACNC,QACE,yEACFC,QAAQ,GAEVqC,SAAU,QACVa,MAAO,CACLnD,QAAS,OACTE,MAAO,YAITI,QACE,+JACFD,OACE,iFACFF,QAAS,yBACTK,YAAa,kBAGbD,SACE,qEAEN,CC1Be,SAASoV,GAAK/V,GAC3BA,EAAMC,UAAU8V,KAAO,CACrB7V,QAAS,CACP,iBACA,CACEE,QAAS,OACTC,QAAQ,IAGZF,OAAQ,CACNC,QAAS,yBACTC,QAAQ,GAEVK,QAAS,CACP,CACEN,QAAS,sBACToB,OAAQ,CACNb,SAAU,MAGd,CACEP,QACE,ibACFoB,OAAQ,CACNZ,YAAa,OAGjB,4QAEF8B,SAAU,kCACVjC,OACE,kNACFG,YAAa,OAEjB,CClCe,SAASoV,GAAOhW,IAC5B,SAAWA,GACV,IAAIiJ,EAAK,wCAAwC9H,OAC7C8U,EACF,MACA,yCAAyC9U,OACzC,IACA,gDAAgDA,OAChD,IACA,kCAAkCA,OAClC8H,EACA,gCAAgC9H,OAChC,IACA,aAAaA,OACXoE,EAAa,CAAC,EA0FlB,IAAK,IAAItB,KAzFTjE,EAAMC,UAAU,WAAa,CAC3BC,QAAS,CACPE,QAAS,0BACTC,QAAQ,GAEVF,OAAQ,CACNC,QAAS,UACTC,QAAQ,GAEVmD,UAAW,CACTpD,QAASiB,OAAO,mBAAmBF,OAAS8H,GAC5C1H,YAAY,GAEd,aAAc,CACZ,CACEnB,QACE,iEACFmB,YAAY,EACZC,OAAQ+D,GAEV,CACEnF,QAASiB,OACP,4DAA4DF,OAC1D8U,GAEJ1U,YAAY,EACZC,OAAQ+D,GAEV,CAEEnF,QAASiB,OACP,IAAM,gBAAgBF,OAAS8H,EAAK,UAAU9H,OAAS,IAAM8U,GAE/D1U,YAAY,EACZC,OAAQ+D,GAEV,CAEEnF,QAASiB,OAAO,mBAAmBF,OAAS8U,GAC5C1U,YAAY,EACZC,OAAQ+D,GAEV,CACEnF,QAASiB,OACP,sFACGF,OAAS8H,GAEd1H,YAAY,GAEd,CAEEnB,QAASiB,OAAO,SAASF,OAAS8H,GAClC1H,YAAY,GAGdF,OAAO4H,EAAK,mCAAmC9H,QAC/C,CACEf,QAASiB,OAAO,iCAAiCF,OAAS8H,GAC1D1H,YAAY,GAEd,CAEEnB,QAASiB,OACP4U,EACE,MACA,mBAAmB9U,OACnB8H,EACA,aAAa9H,OACb,KAEJK,OAAQ+D,IAGZ1C,QACE,8OACFnC,QAAS,CACP,oOAEA,gJAEFH,QAAS,qBACTE,OAAQ,CACNL,QACE,2FACFmB,YAAY,GAEdZ,SAAU,iBACVC,YAAa,gBAECZ,EAAMC,UAAU,WAClB,eAARgE,IACFsB,EAAWtB,GAAOjE,EAAMC,UAAU,WAAWgE,IAGjDjE,EAAMC,UAAkB,OAAID,EAAMC,UAAU,UAC7C,CA7GA,CA6GED,EACL,CC/Ge,SAASkW,GAAKlW,GAC3BA,EAAMC,UAAUiW,KAAO,CACrBhW,QAAS,CACPE,QAAS,gCACTC,QAAQ,GAEV,oBAAqB,CACnBD,QAAS,oBACTmB,YAAY,EACZC,OAAQ,CACN6B,UAAW,CACTjD,QAAS,WACTE,MAAO,aAETM,YAAa,QACb,kBAAmB,CACjBR,QACE,4LACFE,MAAO,gBAIb6V,WAAY,CACV/V,QACE,kHACFmB,YAAY,EACZjB,MAAO,aAET8V,UAAW,CACThW,QAAS,gCACTmB,YAAY,EACZjB,MAAO,YAETI,QACE,iMACFmC,QACE,g/CACF,iBAAkB,CAChBzC,QAAS,qBACTE,MAAO,YAET,aAAc,4BACd,eAAgB,CACdF,QAAS,qBACTE,MAAO,WAET,kBAAmB,CACjBF,QAAS,sCACTE,MAAO,UAET,oBAAqB,CACnBF,QAAS,+DACTE,MAAO,UAET,wBAAyB,CACvB,CACEF,QAAS,qCACTE,MAAO,UAET,CACEF,QAAS,qCACTE,MAAO,UAET,CACEF,QAAS,2BACTE,MAAO,UAET,CACEF,QAAS,cACTE,MAAO,WAGX,cAAe,CACbF,QAAS,eACTE,MAAO,UAETK,SAAU,CACR,CACEP,QAAS,0CAEX,CACEA,QAAS,aAEX,CACEA,QAAS,4CAEX,CACEA,QAAS,uBACTmB,YAAY,GAEd,CACEnB,QAAS,kCACTmB,YAAY,GAEd,CACEnB,QAAS,0DAEX,CACEA,QAAS,OAGbQ,YAAa,mBAEjB,CCtGe,SAASyV,GAAKrW,GAC3BA,EAAM+B,SAAS2E,EAAAA,GACf1G,EAAMC,UAAUoW,KAAOrW,EAAMC,UAAUgC,OAAO,SAAU,CACtD,gBAAiB,CACf7B,QAAS,4BACTmB,YAAY,EACZjB,MAAO,WAETgW,QAAS,CACPlW,QAAS,sBACToB,OAAQ,CACNZ,YAAa,UACbiD,UAAW,OAGf0S,SAAU,CAERnW,QAAS,gBACToB,OAAQ,CACN,cAAe,CACbpB,QAAS,mBACTmB,YAAY,EACZjB,MAAO,CAAC,OAAQ,WAElBgH,KAAM,CACJlH,QAAS,8BACTmB,YAAY,GAEdgG,OAAQ,CACNnH,QAAS,6BACTmB,YAAY,GAEdX,YAAa,cAGjB2L,GAAI,CACFnM,QAAS,UACTE,MAAO,eAETiE,IAAK,CACH,iEACA,uBAEF7B,SAAU,CACR,aAGA,gBACA,eAEFC,OAAQ,CAAC,eAAgB,UAKzB,YAAa,CACXvC,QAAS,qCACTmB,YAAY,EACZC,OAAQ,CACN,YAAa,CACXpB,QAAS,MACTE,MAAO,eAETgD,KAAMtD,EAAMC,UAAUuW,OAAY,IAAEhV,SAGxCZ,YAAa,yCAEfZ,EAAMC,UAAUiC,aAAa,OAAQ,MAAO,CAE1CuU,OAAQ,CACNrW,QAAS,8CACToB,OAAQ,CACNwE,IAAK,CACH5F,QAAS,4DACToB,OAAQxB,EAAMC,UAAUuW,OAAY,IAAEhV,WAKhD,CCjFe,SAASkV,GAAQ1W,GAC9BA,EAAMC,UAAUyW,QAAU,CACxBxW,QAEE,0DACFC,OAAQ,CACNC,QAAS,wBACTC,QAAQ,GAEVK,QACE,4HACFiW,QAAS,CACPvW,QAAS,aACTE,MAAO,cAETsW,MAAO,CACLxW,QAAS,WACTE,MAAO,SAET,kBAAmB,CACjBF,QAAS,QACTE,MAAO,YAETC,QAAS,qBACTE,OACE,iGACFE,SACE,0IACFC,YAAa,iBAEfZ,EAAMC,UAAU4W,YAAc7W,EAAMC,UAAUyW,QAC9C1W,EAAMC,UAAU6W,GAAK9W,EAAMC,UAAUyW,QACrC1W,EAAMC,UAAU8W,GAAK/W,EAAMC,UAAUyW,OACvC,CCjCe,SAASM,GAAKhX,GAG3BA,EAAMC,UAAU+W,KAAO,CAGrB9W,QAAS,CACP,CAGEE,QACE,iHACFC,QAAQ,GAEV,CACED,QAAS,mBACTmB,YAAY,EACZlB,QAAQ,IAKZ,uBAAwB,CACtBD,QAAS,iBACTC,QAAQ,EACRC,MAAO,UAGT,iBAAkB,KAElB2W,SAAU,CACR7W,QAAS,UACTC,QAAQ,EACRC,MAAO,WAGT+C,UAAW,CAITjD,QAAS,qBACTE,MAAO,WAET,aAAc,CACZ,CAGEF,QAAS,kBACTmB,YAAY,GAId,sBAGFuB,SAAU,qBACV6B,KAAM,CACJvE,QAAS,WACTE,MAAO,WAETI,QACE,gHACFH,QAAS,qBACTE,OAAQ,kDAER6B,SAAU,0BACV3B,SAAU,+CACVC,YAAa,iBAEfZ,EAAMC,UAAU+W,KAAK,kBAAoB,CAEvC5W,QACE,qFACFmB,YAAY,EACZlB,QAAQ,EACRmB,OAAQ,CACNW,cAAe,CAEb/B,QACE,kEACFmB,YAAY,EACZC,OAAQ,CACN0H,WAAY,CACV9I,QAAS,uBACTmB,YAAY,EACZC,OAAQxB,EAAMC,UAAU+W,MAE1B,4BAA6B,CAC3B5W,QAAS,WACTE,MAAO,iBAIbH,OAAQ,WAGd,CC/Fe,SAAS+W,GAAMlX,GAC5BA,EAAM+B,SAAS2E,EAAAA,GACd,SAAW1G,GACVA,EAAMC,UAAUiX,MAAQlX,EAAMC,UAAUgC,OAAO,SAAU,CACvDa,SAAU,CACR1C,QAAS,4CACToB,OAAQ,CACNZ,YAAa,CACXR,QAAS,QAIfsC,SAAU,CACRtC,QAAS,+BACToB,OAAQ,CACNZ,YAAa,CACXR,QAAS,QAEXO,SAAU,CACRP,QAAS,kBAIf,kBAAmB,CACjBA,QACE,wMACFoB,OAAQ,CACNkB,SAAU,CACRtC,QAAS,mCACToB,OAAQ,CACNZ,YAAa,CACXR,QAAS,SAEXO,SAAU,CACRP,QAAS,kBAIfQ,YAAa,CACXR,QAAS,mBAGbE,MAAO,YAET,iBAAkB,CAChBF,QACE,gNACFoB,OAAQ,CACNZ,YAAa,CACXR,QAAS,eAGbE,MAAO,YAET,mBAAoB,CAClBF,QAAS,+CACToB,OAAQ,CACNZ,YAAa,CACXR,QAAS,iCACToB,OAAQ,CACNwE,IAAK,CACH5F,QAAS,UAKjBE,MAAO,YAET,uBAAwB,CACtBF,QACE,mEACFoB,OAAQ,CACNZ,YAAa,CACXR,QAAS,iCACToB,OAAQ,CACNwE,IAAK,CACH5F,QAAS,SAIfiD,UAAW,CACTjD,QAAS,WACToB,OAAQ,CACNZ,YAAa,CACXR,QAAS,MAGbE,MAAO,YAGXA,MAAO,YAET,4BAA6B,CAC3BF,QAAS,gBACToB,OAAQ,CACNZ,YAAa,CACXR,QAAS,UAGbE,MAAO,YAET,wBAAyB,CACvBF,QAAS,eACToB,OAAQ,CACNZ,YAAa,CACXR,QAAS,WAGbE,MAAO,cAGXN,EAAMC,UAAUiC,aACd,SACA,cACA,CACEQ,SAAU1C,EAAMC,UAAUiX,MAAM,mBAAmB1V,OAAiB,UAEtExB,EAAMC,UAAUiX,MAAM,mBAExBlX,EAAMC,UAAUkX,UAAYnX,EAAMC,UAAUiX,KAC7C,CAtHA,CAsHElX,EACL,CCzHe,SAASoX,GAAOpX,GAC7BA,EAAM+B,SAAS2E,EAAAA,GACd,SAAW1G,GAOV,SAASqX,EAAiBrQ,EAAMsQ,GAC1BtX,EAAMC,UAAU+G,IAClBhH,EAAMC,UAAUiC,aAAa8E,EAAM,UAAW,CAC5C,cAAesQ,GAGrB,CACA,IAAItR,EAAMhG,EAAMC,UAAUuW,OAAOxQ,IAC7BuR,EAAkB,CACpBnX,QAAS,WACTC,QAAQ,EACRC,MAAO,UACPkB,OAAQ,CACNwE,IAAKA,IAGLwR,EAAiB,CACnBpX,QAAS,QACTC,QAAQ,EACRC,MAAO,UACPkB,OAAQ,CACNwE,IAAKA,IAGTqR,EAAiB,SAAUE,GAC3BF,EAAiB,SAAUE,GAC3BF,EAAiB,QAASG,EAC3B,CAlCA,CAkCExX,EACL,CCtCe,SAASyX,GAAKzX,GAC3BA,EAAMC,UAAUwX,KAAO,CACrBvX,QAAS,CACPE,QAAS,sBACTC,QAAQ,GAEVF,OAAQ,CACNC,QAAS,iBACTC,QAAQ,GAEVI,OAAQ,CAAC,6CAA8C,qBACvDqE,UAAW,CACT1E,QAAS,sCACTE,MAAO,YAETI,QACE,ktBACFC,SACE,6FACFC,YAAa,WAEjB,CCpBe,SAAS8W,GAAO1X,GAC7BA,EAAM+B,SAAS2E,EAAAA,GACd,SAAW1G,GACVA,EAAMC,UAAUyX,OAAS1X,EAAMC,UAAUgC,OAAO,SAAU,CACxD,iBAAkB,CAChB7B,QAAS,iBACTC,QAAQ,EACRC,MAAO,WAETH,OAAQ,CACNC,QAAS,iCACTC,QAAQ,GAEVsX,UAAW,CACTvX,QAAS,YACTE,MAAO,UAEToC,SAAU,YACVkV,KAAM,CACJxX,QACE,4IACFmB,YAAY,EACZjB,MAAO,YAET,mBAAoB,CAClBF,QACE,sHACFmB,YAAY,EACZjB,MAAO,YAETI,QAAS,CACPN,QACE,ocACFmB,YAAY,GAEde,SAAU,8BACV,iBAAkB,CAChBlC,QAAS,iCACTmB,YAAY,EACZjB,MAAO,OAET,mBAAoB,CAClBF,QAAS,mCACTmB,YAAY,EACZjB,MAAO,aAETuC,QAAS,CACPzC,QACE,shBACFmB,YAAY,GAEdd,OAAQ,gCACRE,SAAU,CACR,qCACA,CACEP,QAAS,cACTmB,YAAY,IAGhBX,YAAa,kBAEfZ,EAAMC,UAAUyX,OAAO1R,IAAI5F,QACzB,uJACFJ,EAAMC,UAAUyX,OAAY,IAAElW,OAAO,cAAcpB,QACjD,kGACFJ,EAAMC,UAAUyX,OAAY,IAAElW,OAAO,cAAcA,OAAoB,YACrE,SACFxB,EAAMC,UAAUyX,OAAY,IAAElW,OAAO,cAAcA,OAAmB,WAAI,CAExEpB,QAAS,iDACToB,OAAQxB,EAAMC,UAAUyX,OACxBpX,MAAO,mBAIT,IAAIuX,EAAiB,SAAUvK,GAC7B,MAAqB,kBAAVA,EACFA,EAEoB,kBAAlBA,EAAMlL,QACRkL,EAAMlL,QAERkL,EAAMlL,QAAQ0V,IAAID,GAAgBrV,KAAK,GAChD,EACIuV,EAAa,SAAUC,GAEzB,IADA,IAAIC,EAAa,GACR/U,EAAI,EAAGA,EAAI8U,EAAOE,OAAQhV,IAAK,CACtC,IAAIoK,EAAQ0K,EAAO9U,GACfiV,GAAiB,EAwDrB,GAvDqB,kBAAV7K,IAEQ,QAAfA,EAAM2I,MACN3I,EAAMlL,QAAQ,IACY,QAA1BkL,EAAMlL,QAAQ,GAAG6T,KAI2B,OAAxC3I,EAAMlL,QAAQ,GAAGA,QAAQ,GAAGA,QAG5B6V,EAAWC,OAAS,GACpBD,EAAWA,EAAWC,OAAS,GAAGE,UAChCP,EAAevK,EAAMlL,QAAQ,GAAGA,QAAQ,KAG1C6V,EAAWI,MAG2C,OAApD/K,EAAMlL,QAAQkL,EAAMlL,QAAQ8V,OAAS,GAAG9V,SAI1C6V,EAAW3S,KAAK,CACd8S,QAASP,EAAevK,EAAMlL,QAAQ,GAAGA,QAAQ,IACjDkW,aAAc,MAKpBL,EAAWC,OAAS,GACL,gBAAf5K,EAAM2I,MACY,MAAlB3I,EAAMlL,UAEJ4V,EAAO9U,EAAI,IACY,gBAAvB8U,EAAO9U,EAAI,GAAG+S,MACY,MAA1B+B,EAAO9U,EAAI,GAAGd,SACd4V,EAAO9U,EAAI,IACY,eAAvB8U,EAAO9U,EAAI,GAAG+S,MACY,MAA1B+B,EAAO9U,EAAI,GAAGd,QAKhB6V,EAAWC,OAAS,GACpBD,EAAWA,EAAWC,OAAS,GAAGI,aAAe,GAClC,gBAAfhL,EAAM2I,MACY,MAAlB3I,EAAMlL,QAGN6V,EAAWA,EAAWC,OAAS,GAAGI,eACV,YAAfhL,EAAM2I,OACfkC,GAAiB,GAVjBF,EAAWA,EAAWC,OAAS,GAAGI,iBAalCH,GAAmC,kBAAV7K,IAEzB2K,EAAWC,OAAS,GAC+B,IAAnDD,EAAWA,EAAWC,OAAS,GAAGI,aAClC,CAGA,IAAIC,EAAYV,EAAevK,GAI7BpK,EAAI8U,EAAOE,OAAS,IACM,kBAAlBF,EAAO9U,EAAI,IACM,eAAvB8U,EAAO9U,EAAI,GAAG+S,QAEhBsC,GAAaV,EAAeG,EAAO9U,EAAI,IACvC8U,EAAOQ,OAAOtV,EAAI,EAAG,IAGrBA,EAAI,IACsB,kBAAlB8U,EAAO9U,EAAI,IACM,eAAvB8U,EAAO9U,EAAI,GAAG+S,QAEhBsC,EAAYV,EAAeG,EAAO9U,EAAI,IAAMqV,EAC5CP,EAAOQ,OAAOtV,EAAI,EAAG,GACrBA,KAEE,QAAQuV,KAAKF,GACfP,EAAO9U,GAAKqV,EAEZP,EAAO9U,GAAK,IAAIlD,EAAM0Y,MACpB,aACAH,EACA,KACAA,EAGN,CAEEjL,EAAMlL,SAAoC,kBAAlBkL,EAAMlL,SAChC2V,EAAWzK,EAAMlL,QAErB,CACF,EACApC,EAAM2J,MAAMC,IAAI,kBAAkB,SAAUC,GACrB,WAAjBA,EAAIC,UAGRiO,EAAWlO,EAAImO,OACjB,GACD,CAhMA,CAgMEhY,EACL,CCpMe,SAAS2Y,GAAK3Y,GAC3BA,EAAMC,UAAU0Y,KAAO,CAGrBzY,QAAS,0BACTC,OAAQ,CACNC,QAAS,4BACTC,QAAQ,GAEVK,QAAS,CACPN,QAAS,oCACTmB,YAAY,GAEdiC,UAAW,CACTpD,QAAS,0BACTmB,YAAY,GAEdhB,QAAS,qBACTI,SAAU,KACVC,YAAa,SAEjB,CCrBe,SAASgY,GAAI5Y,IACzB,SAAWA,GACV,SAAS+E,EAAQ8T,GACf,OAAO,WACL,OAAOA,CACT,CACF,CACA,IAAInY,EACF,iXACEoY,EAAa,SAAWpY,EAAQS,OAAS,kBACzC4X,EAAQ,oCAAoC5X,OAW5C6X,EACF,sBAVA,0GAA0G7X,OAAOgC,QAC/G,WACA4B,EAAQgU,IAQ+B,SALzC,2DAA2D5X,OAAOgC,QAChE,QACA4B,EAAQ+T,IAGwD,KAmBpE9Y,EAAMC,UAAU2Y,IAAM,CACpB1Y,QAAS,CACP,CACEE,QAAS,aACTE,MAAO,eAET,WAEFH,OAAQ,CACN,CAEEC,QAAS,oCACTmB,YAAY,EACZlB,QAAQ,GAEV,CAEED,QAAS,iDACTmB,YAAY,EACZlB,QAAQ,IAGZ+C,KAAM,CAEJhD,QACE,2FACFmB,YAAY,EACZlB,QAAQ,GAEVwC,QAAS,wBACTmC,MAAO,CACL5E,QACE,4EACFmB,YAAY,GAEd,aAAc,CAEZ,8EACA,CAIEnB,QAASiB,OACP,0EAA0EF,OACvEgC,QAAQ,UAAW4B,EAAQiU,IAC3B7V,QAAQ,WAAY4B,EAAQgU,KAEjCxX,YAAY,EACZC,OAAQ,MAEV,CAEEpB,QAASiB,OACP,uCAAuCF,OACpCgC,QAAQ,UAAW4B,EAAQiU,IAC3B7V,QAAQ,WAAY4B,EAAQgU,KAEjCxX,YAAY,EACZC,OAAQ,OAIZ,eAAgB,CACdpB,QACE,oKACFE,MAAO,WAETI,QAASA,EACT4B,SAAU,uBACV7B,OACE,mHACFF,QAAS,qBACTI,SACE,6EACFC,YAAa,iBAEfZ,EAAMC,UAAU2Y,IAAI,cAAczT,SAAQ,SAAU8T,GAC/B,OAAfA,EAAIzX,SACNyX,EAAIzX,OAASxB,EAAMC,UAAU2Y,IAEjC,GACD,CAzHA,CAyHE5Y,EACL,C5B7HAuT,GAAK1S,YAAc,QACnB0S,GAAKzS,QAAU,GCFf2S,GAAI5S,YAAc,MAClB4S,GAAI3S,QAAU,GCFdoT,GAAIrT,YAAc,MAClBqT,GAAIpT,QAAU,GCCdsT,GAAIvT,YAAc,MAClBuT,GAAItT,QAAU,GCHduT,GAAKxT,YAAc,OACnBwT,GAAKvT,QAAU,GCDfyT,GAAO1T,YAAc,SACrB0T,GAAOzT,QAAU,CAAC,UAAW,QCD7B8T,GAAW/T,YAAc,aACzB+T,GAAW9T,QAAU,CAAC,YCDtBgU,GAAajU,YAAc,eAC3BiU,GAAahU,QAAU,CAAC,KAAM,WCD9BqU,GAAQtU,YAAc,UACtBsU,GAAQrU,QAAU,GCAlBsU,GAAEvU,YAAc,IAChBuU,GAAEtU,QAAU,GCDZuU,GAAKxU,YAAc,OACnBwU,GAAKvU,QAAU,GCDfwU,GAASzU,YAAc,WACvByU,GAASxU,QAAU,GCFnB0U,GAAQ3U,YAAc,UACtB2U,GAAQ1U,QAAU,GCDlB2U,GAAK5U,YAAc,OACnB4U,GAAK3U,QAAU,GCDf4U,GAAI7U,YAAc,MAClB6U,GAAI5U,QAAU,GCDd6U,GAAY9U,YAAc,eAC1B8U,GAAY7U,QAAU,CAAC,KAAM,OCD7BgV,GAAWjV,YAAc,aACzBiV,GAAWhV,QAAU,GCDrBiV,GAAKlV,YAAc,OACnBkV,GAAKjV,QAAU,GCDfkV,GAAOnV,YAAc,UACrBmV,GAAOlV,QAAU,CAAC,UCDlBoV,GAAKrV,YAAc,OACnBqV,GAAKpV,QAAU,GCAfuV,GAAKxV,YAAc,OACnBwV,GAAKvV,QAAU,GCFf4V,GAAQ7V,YAAc,UACtB6V,GAAQ5V,QAAU,CAAC,cAAe,KAAM,MCDxCkW,GAAKnW,YAAc,OACnBmW,GAAKlW,QAAU,GCAfoW,GAAMrW,YAAc,QACpBqW,GAAMpW,QAAU,CAAC,aCDjBsW,GAAOvW,YAAc,UACrBuW,GAAOtW,QAAU,GCFjB2W,GAAK5W,YAAc,OACnB4W,GAAK3W,QAAU,GCAf4W,GAAO7W,YAAc,SACrB6W,GAAO5W,QAAU,GCFjB6X,GAAK9X,YAAc,OACnB8X,GAAK7X,QAAU,GCDf8X,GAAI/X,YAAc,MAClB+X,GAAI9X,QAAU,G,eCgTdoY,GAAAA,EAAUnX,SAASyU,EAAAA,GACnB0C,GAAAA,EAAUnX,SAASgF,EAAAA,GACnBmS,GAAAA,EAAUnX,SAASyP,EAAAA,GACnB0H,GAAAA,EAAUnX,SAAShB,EAAAA,GACnBmY,GAAAA,EAAUnX,SAASqJ,EAAAA,GACnB8N,GAAAA,EAAUnX,SAASoX,EAAAA,GACnBD,GAAAA,EAAUnX,SAASqX,EAAAA,GACnBF,GAAAA,EAAUnX,SAASsX,EAAAA,GACnBH,GAAAA,EAAUnX,SAASuX,EAAAA,GACnBJ,GAAAA,EAAUnX,SAASwX,EAAAA,GACnBL,GAAAA,EAAUnX,SAASyX,EAAAA,GACnBN,GAAAA,EAAUnX,SAAS0X,EAAAA,GACnBP,GAAAA,EAAUnX,SAAS2X,EAAAA,GACnBR,GAAAA,EAAUnX,SAAS6C,EAAAA,GACnBsU,GAAAA,EAAUnX,SAAS4X,EAAAA,GACnBT,GAAAA,EAAUnX,SAAS6X,EAAAA,GACnBV,GAAAA,EAAUnX,SAAS8X,EAAAA,GACnBX,GAAAA,EAAUnX,SAAS+X,EAAAA,GACnBZ,GAAAA,EAAUnX,SAASgY,EAAAA,GACnBb,GAAAA,EAAUnX,SAASiY,EAAAA,GACnBd,GAAAA,EAAUnX,SAASkY,EAAAA,GACnBf,GAAAA,EAAUnX,SAASmY,EAAAA,GACnBhB,GAAAA,EAAUnX,SAASoY,EAAAA,GACnBjB,GAAAA,EAAUnX,SAAS0N,EAAAA,GACnByJ,GAAAA,EAAUnX,SAAS+D,EAAAA,GACnBoT,GAAAA,EAAUnX,SAASqY,EAAAA,GACnBlB,GAAAA,EAAUnX,SAASsY,EAAAA,GACnBnB,GAAAA,EAAUnX,SAASuY,EAAAA,GACnBpB,GAAAA,EAAUnX,SAASwY,EAAAA,GACnBrB,GAAAA,EAAUnX,SAASyY,EAAAA,GACnBtB,GAAAA,EAAUnX,SAAS0Y,EAAAA,GACnBvB,GAAAA,EAAUnX,SAAS2Y,EAAAA,GACnBxB,GAAAA,EAAUnX,SAAS4Y,EAAAA,GACnBzB,GAAAA,EAAUnX,SAAS6Y,EAAAA,GACnB1B,GAAAA,EAAUnX,SAAS8Y,EAAAA,GACnB3B,GAAAA,EAAUnX,SAAS+Y,EAAAA,GACnB5B,GAAAA,EAAUnX,SAASgZ,EAAAA,GACnB7B,GAAAA,EAAUnX,SAASiZ,EAAAA,GACnB9B,GAAAA,EAAUnX,SAASkZ,EAAAA,GACnB/B,GAAAA,EAAUnX,SAASmZ,EAAAA,GACnBhC,GAAAA,EAAUnX,SAASoZ,EAAAA,GACnBjC,GAAAA,EAAUnX,SAASqZ,EAAAA,GACnBlC,GAAAA,EAAUnX,SAASsZ,EAAAA,GACnBnC,GAAAA,EAAUnX,SAASuZ,EAAAA,GACnBpC,GAAAA,EAAUnX,SAASwZ,EAAAA,GACnBrC,GAAAA,EAAUnX,SAASyZ,EAAAA,GACnBtC,GAAAA,EAAUnX,SAAS0Z,EAAAA,GACnBvC,GAAAA,EAAUnX,SAAS2Z,EAAAA,GACnBxC,GAAAA,EAAUnX,SAAS4Z,EAAAA,GACnBzC,GAAAA,EAAUnX,SAAS6Z,EAAAA,GACnB1C,GAAAA,EAAUnX,SAAS8Z,EAAAA,GACnB3C,GAAAA,EAAUnX,SAAS+Z,GAAAA,GACnB5C,GAAAA,EAAUnX,SAASga,GAAAA,GACnB7C,GAAAA,EAAUnX,SAASia,GAAAA,GACnB9C,GAAAA,EAAUnX,SAASka,GAAAA,GACnB/C,GAAAA,EAAUnX,SAASma,GAAAA,GACnBhD,GAAAA,EAAUnX,SAASoa,GAAAA,GACnBjD,GAAAA,EAAUnX,SAASqa,GAAAA,GACnBlD,GAAAA,EAAUnX,SAASsa,GAAAA,GACnBnD,GAAAA,EAAUnX,SAASua,GAAAA,GACnBpD,GAAAA,EAAUnX,SAASwa,GAAAA,GACnBrD,GAAAA,EAAUnX,SAASya,GAAAA,GACnBtD,GAAAA,EAAUnX,SAAS0a,GAAAA,GACnBvD,GAAAA,EAAUnX,SAASD,GAAAA,GACnBoX,GAAAA,EAAUnX,SAAS2a,GAAAA,GACnBxD,GAAAA,EAAUnX,SAAS4a,GAAAA,GACnBzD,GAAAA,EAAUnX,SAAS6a,GAAAA,GACnB1D,GAAAA,EAAUnX,SAAS8a,GAAAA,GACnB3D,GAAAA,EAAUnX,SAAS+a,GAAAA,GACnB5D,GAAAA,EAAUnX,SAASgb,GAAAA,GACnB7D,GAAAA,EAAUnX,SAASib,GAAAA,GACnB9D,GAAAA,EAAUnX,SAASkb,GAAAA,GACnB/D,GAAAA,EAAUnX,SAASmb,GAAAA,GACnBhE,GAAAA,EAAUnX,SAASob,GAAAA,GACnBjE,GAAAA,EAAUnX,SAASqb,GAAAA,GACnBlE,GAAAA,EAAUnX,SAASsb,GAAAA,GACnBnE,GAAAA,EAAUnX,SAASub,GAAAA,GACnBpE,GAAAA,EAAUnX,SAASwb,GAAAA,GACnBrE,GAAAA,EAAUnX,SAASyb,GAAAA,GACnBtE,GAAAA,EAAUnX,SAAS0b,GAAAA,GACnBvE,GAAAA,EAAUnX,SAAS2b,GAAAA,GACnBxE,GAAAA,EAAUnX,SAAS4b,GAAAA,GACnBzE,GAAAA,EAAUnX,SAAS6b,GAAAA,GACnB1E,GAAAA,EAAUnX,SAAS8b,GAAAA,GACnB3E,GAAAA,EAAUnX,SAAS+b,GAAAA,GACnB5E,GAAAA,EAAUnX,SAASgc,GAAAA,GACnB7E,GAAAA,EAAUnX,SAASmN,GAAAA,GACnBgK,GAAAA,EAAUnX,SAASic,GAAAA,GACnB9E,GAAAA,EAAUnX,SAASkc,GAAAA,GACnB/E,GAAAA,EAAUnX,SAASmc,GAAAA,GACnBhF,GAAAA,EAAUnX,SAASoc,GAAAA,GACnBjF,GAAAA,EAAUnX,SAASqc,GAAAA,GACnBlF,GAAAA,EAAUnX,SAASsc,GAAAA,GACnBnF,GAAAA,EAAUnX,SAASuc,GAAAA,GACnBpF,GAAAA,EAAUnX,SAASwc,GAAAA,GACnBrF,GAAAA,EAAUnX,SAASyc,GAAAA,GACnBtF,GAAAA,EAAUnX,SAAS0c,GAAAA,GACnBvF,GAAAA,EAAUnX,SAAS2c,GAAAA,GACnBxF,GAAAA,EAAUnX,SAAS4c,GAAAA,GACnBzF,GAAAA,EAAUnX,SAAS6c,GAAAA,GACnB1F,GAAAA,EAAUnX,SAAS8c,GAAAA,GACnB3F,GAAAA,EAAUnX,SAAS+c,GAAAA,GACnB5F,GAAAA,EAAUnX,SAASgd,GAAAA,GACnB7F,GAAAA,EAAUnX,SAASid,GAAAA,GACnB9F,GAAAA,EAAUnX,SAASkd,GAAAA,GACnB/F,GAAAA,EAAUnX,SAASmd,GAAAA,GACnBhG,GAAAA,EAAUnX,SAASod,GAAAA,GACnBjG,GAAAA,EAAUnX,SAASqd,GAAAA,GACnBlG,GAAAA,EAAUnX,SAASsd,GAAAA,GACnBnG,GAAAA,EAAUnX,SAASud,GAAAA,GACnBpG,GAAAA,EAAUnX,SAASwd,GAAAA,GACnBrG,GAAAA,EAAUnX,SAASyd,GAAAA,GACnBtG,GAAAA,EAAUnX,SAAS0d,GAAAA,GACnBvG,GAAAA,EAAUnX,SAASkN,GAAAA,GACnBiK,GAAAA,EAAUnX,SAAS2d,GAAAA,GACnBxG,GAAAA,EAAUnX,SAASsC,GAAAA,GACnB6U,GAAAA,EAAUnX,SAAS0E,IACnByS,GAAAA,EAAUnX,SAAS4d,GAAAA,GACnBzG,GAAAA,EAAUnX,SAAS6d,GAAAA,GACnB1G,GAAAA,EAAUnX,SAAS8d,GAAAA,GACnB3G,GAAAA,EAAUnX,SAAS+d,GAAAA,GACnB5G,GAAAA,EAAUnX,SAASge,GAAAA,GACnB7G,GAAAA,EAAUnX,SAASie,GAAAA,GACnB9G,GAAAA,EAAUnX,SAASke,GAAAA,GACnB/G,GAAAA,EAAUnX,SAASme,GAAAA,GACnBhH,GAAAA,EAAUnX,SAASoe,GAAAA,GACnBjH,GAAAA,EAAUnX,SAASqe,GAAAA,GACnBlH,GAAAA,EAAUnX,SAASwG,IACnB2Q,GAAAA,EAAUnX,SAASse,GAAAA,GACnBnH,GAAAA,EAAUnX,SAASue,GAAAA,GACnBpH,GAAAA,EAAUnX,SAASwe,GAAAA,GACnBrH,GAAAA,EAAUnX,SAASye,GAAAA,GACnBtH,GAAAA,EAAUnX,SAAS0e,GAAAA,GACnBvH,GAAAA,EAAUnX,SAAS2e,GAAAA,GACnBxH,GAAAA,EAAUnX,SAAS4e,GAAAA,GACnBzH,GAAAA,EAAUnX,SAAS6e,GAAAA,GACnB1H,GAAAA,EAAUnX,SAAS8e,GAAAA,GACnB3H,GAAAA,EAAUnX,SAAS+e,GAAAA,GACnB5H,GAAAA,EAAUnX,SAASkQ,GAAAA,GACnBiH,GAAAA,EAAUnX,SAAS+N,GAAAA,GACnBoJ,GAAAA,EAAUnX,SAASgf,GAAAA,GACnB7H,GAAAA,EAAUnX,SAASgH,IACnBmQ,GAAAA,EAAUnX,SAASif,GAAAA,GACnB9H,GAAAA,EAAUnX,SAASkf,GAAAA,GACnB/H,GAAAA,EAAUnX,SAASmf,GAAAA,GACnBhI,GAAAA,EAAUnX,SAASof,GAAAA,GACnBjI,GAAAA,EAAUnX,SAASqf,GAAAA,GACnBlI,GAAAA,EAAUnX,SAASsf,GAAAA,GACnBnI,GAAAA,EAAUnX,SAASqD,GAAAA,GACnB8T,GAAAA,EAAUnX,SAASuf,GAAAA,GACnBpI,GAAAA,EAAUnX,SAASwf,GAAAA,GACnBrI,GAAAA,EAAUnX,SAASyf,GAAAA,GACnBtI,GAAAA,EAAUnX,SAAS0f,GAAAA,GACnBvI,GAAAA,EAAUnX,SAAS2f,GAAAA,GACnBxI,GAAAA,EAAUnX,SAAS4f,GAAAA,GACnBzI,GAAAA,EAAUnX,SAAS6f,GAAAA,GACnB1I,GAAAA,EAAUnX,SAAS8f,GAAAA,GACnB3I,GAAAA,EAAUnX,SAAS+f,GAAAA,GACnB5I,GAAAA,EAAUnX,SAASggB,GAAAA,GACnB7I,GAAAA,EAAUnX,SAASigB,GAAAA,GACnB9I,GAAAA,EAAUnX,SAASkgB,GAAAA,GACnB/I,GAAAA,EAAUnX,SAASmgB,GAAAA,GACnBhJ,GAAAA,EAAUnX,SAASogB,GAAAA,GACnBjJ,GAAAA,EAAUnX,SAASgC,GAAAA,GACnBmV,GAAAA,EAAUnX,SAASqgB,GAAAA,GACnBlJ,GAAAA,EAAUnX,SAASsgB,GAAAA,GACnBnJ,GAAAA,EAAUnX,SAASugB,GAAAA,GACnBpJ,GAAAA,EAAUnX,SAASwgB,GAAAA,GACnBrJ,GAAAA,EAAUnX,SAASygB,GAAAA,GACnBtJ,GAAAA,EAAUnX,SAAS0gB,GAAAA,GACnBvJ,GAAAA,EAAUnX,SAAS2gB,GAAAA,GACnBxJ,GAAAA,EAAUnX,SAAS4gB,GAAAA,GACnBzJ,GAAAA,EAAUnX,SAAS6gB,GAAAA,GACnB1J,GAAAA,EAAUnX,SAASiQ,GAAAA,GACnBkH,GAAAA,EAAUnX,SAAS8gB,GAAAA,GACnB3J,GAAAA,EAAUnX,SAAS+gB,GAAAA,GACnB5J,GAAAA,EAAUnX,SAASghB,GAAAA,GACnB7J,GAAAA,EAAUnX,SAASihB,GAAAA,GACnB9J,GAAAA,EAAUnX,SAASkhB,GAAAA,GACnB/J,GAAAA,EAAUnX,SAASmhB,GAAAA,GACnBhK,GAAAA,EAAUnX,SAASohB,GAAAA,GACnBjK,GAAAA,EAAUnX,SAASqhB,GAAAA,GACnBlK,GAAAA,EAAUnX,SAASshB,GAAAA,GACnBnK,GAAAA,EAAUnX,SAASuhB,GAAAA,GACnBpK,GAAAA,EAAUnX,SAASwhB,GAAAA,GACnBrK,GAAAA,EAAUnX,SAASyhB,GAAAA,GACnBtK,GAAAA,EAAUnX,SAAS0hB,GAAAA,GACnBvK,GAAAA,EAAUnX,SAAS2hB,GAAAA,GACnBxK,GAAAA,EAAUnX,SAAS4hB,GAAAA,GACnBzK,GAAAA,EAAUnX,SAAS6hB,GAAAA,GACnB1K,GAAAA,EAAUnX,SAAS8hB,GAAAA,GACnB3K,GAAAA,EAAUnX,SAAS+hB,GAAAA,GACnB5K,GAAAA,EAAUnX,SAASgiB,GAAAA,GACnB7K,GAAAA,EAAUnX,SAASiiB,GAAAA,GACnB9K,GAAAA,EAAUnX,SAASkiB,GAAAA,GACnB/K,GAAAA,EAAUnX,SAASmiB,GAAAA,GACnBhL,GAAAA,EAAUnX,SAASoiB,GAAAA,GACnBjL,GAAAA,EAAUnX,SAASqiB,GAAAA,GACnBlL,GAAAA,EAAUnX,SAASsiB,GAAAA,GACnBnL,GAAAA,EAAUnX,SAASuiB,GAAAA,GACnBpL,GAAAA,EAAUnX,SAASwiB,GAAAA,GACnBrL,GAAAA,EAAUnX,SAASyiB,GAAAA,GACnBtL,GAAAA,EAAUnX,SAAS0iB,GAAAA,GACnBvL,GAAAA,EAAUnX,SAAS2iB,GAAAA,GACnBxL,GAAAA,EAAUnX,SAAS4iB,GAAAA,GACnBzL,GAAAA,EAAUnX,SAAS6iB,GAAAA,GACnB1L,GAAAA,EAAUnX,SAAS8iB,GAAAA,GACnB3L,GAAAA,EAAUnX,SAAS+iB,GAAAA,GACnB5L,GAAAA,EAAUnX,SAASgjB,GAAAA,GACnB7L,GAAAA,EAAUnX,SAASijB,GAAAA,GACnB9L,GAAAA,EAAUnX,SAASkjB,GAAAA,GACnB/L,GAAAA,EAAUnX,SAASmjB,GAAAA,GACnBhM,GAAAA,EAAUnX,SAASojB,GAAAA,GACnBjM,GAAAA,EAAUnX,SAASqjB,GAAAA,GACnBlM,GAAAA,EAAUnX,SAASsjB,GAAAA,GACnBnM,GAAAA,EAAUnX,SAASujB,GAAAA,GACnBpM,GAAAA,EAAUnX,SAASkE,GAAAA,GACnBiT,GAAAA,EAAUnX,SAASwjB,GAAAA,GACnBrM,GAAAA,EAAUnX,SAASoH,IACnB+P,GAAAA,EAAUnX,SAAS0H,IACnByP,GAAAA,EAAUnX,SAASyjB,GAAAA,GACnBtM,GAAAA,EAAUnX,SAAS0jB,GAAAA,GACnBvM,GAAAA,EAAUnX,SAAS2jB,GAAAA,GACnBxM,GAAAA,EAAUnX,SAAS4jB,GAAAA,GACnBzM,GAAAA,EAAUnX,SAAS6jB,GAAAA,GACnB1M,GAAAA,EAAUnX,SAASmQ,GAAAA,GACnBgH,GAAAA,EAAUnX,SAASkI,IACnBiP,GAAAA,EAAUnX,SAAS8I,IACnBqO,GAAAA,EAAUnX,SAASiJ,IACnBkO,GAAAA,EAAUnX,SAASsJ,IACnB6N,GAAAA,EAAUnX,SAAShC,GAAAA,GACnBmZ,GAAAA,EAAUnX,SAASuJ,IACnB4N,GAAAA,EAAUnX,SAAS8jB,GAAAA,GACnB3M,GAAAA,EAAUnX,SAAS+jB,GAAAA,GACnB5M,GAAAA,EAAUnX,SAAS0J,IACnByN,GAAAA,EAAUnX,SAAS+J,IACnBoN,GAAAA,EAAUnX,SAASiK,IACnBkN,GAAAA,EAAUnX,SAASkK,IACnBiN,GAAAA,EAAUnX,SAASoK,IACnB+M,GAAAA,EAAUnX,SAASuB,IACnB4V,GAAAA,EAAUnX,SAAS2K,IACnBwM,GAAAA,EAAUnX,SAAS+K,IACnBoM,GAAAA,EAAUnX,SAASmL,IACnBgM,GAAAA,EAAUnX,SAASiB,GAAAA,GACnBkW,GAAAA,EAAUnX,SAASgM,IACnBmL,GAAAA,EAAUnX,SAAS0B,GAAAA,GACnByV,GAAAA,EAAUnX,SAASqN,IACnB8J,GAAAA,EAAUnX,SAAS4N,IACnBuJ,GAAAA,EAAUnX,SAAS6N,IACnBsJ,GAAAA,EAAUnX,SAAS8N,IACnBqJ,GAAAA,EAAUnX,SAASmO,IACnBgJ,GAAAA,EAAUnX,SAASsO,IACnB6I,GAAAA,EAAUnX,SAASyO,IACnB0I,GAAAA,EAAUnX,SAAS4O,IACnBuI,GAAAA,EAAUnX,SAASgP,IACnBmI,GAAAA,EAAUnX,SAASmP,IACnBgI,GAAAA,EAAUnX,SAASsP,IACnB6H,GAAAA,EAAUnX,SAASuP,IACnB4H,GAAAA,EAAUnX,SAASwP,IACnB2H,GAAAA,EAAUnX,SAAS2P,IACnBwH,GAAAA,EAAUnX,SAAS8P,IACnBqH,GAAAA,EAAUnX,SAASgkB,GAAAA,GACnB7M,GAAAA,EAAUnX,SAASoQ,IACnB+G,GAAAA,EAAUnX,SAAS8C,GAAAA,GACnBqU,GAAAA,EAAUnX,SAASsQ,IACnB6G,GAAAA,EAAUnX,SAAS0Q,IACnByG,GAAAA,EAAUnX,SAASmR,IACnBgG,GAAAA,EAAUnX,SAAS6D,GAAAA,GACnBsT,GAAAA,EAAUnX,SAASwR,IACnB2F,GAAAA,EAAUnX,SAAS0R,IACnByF,GAAAA,EAAUnX,SAASmS,IACnBgF,GAAAA,EAAUnX,SAASqS,IACnB8E,GAAAA,EAAUnX,SAASsS,IACnB6E,GAAAA,EAAUnX,SAASwS,IACnB2E,GAAAA,EAAUnX,SAAS6S,IACnBsE,GAAAA,EAAUnX,SAAS+S,IACnBoE,GAAAA,EAAUnX,SAASoT,IACnB+D,GAAAA,EAAUnX,SAASqT,IACnB8D,GAAAA,EAAUnX,SAASsT,IACnB6D,GAAAA,EAAUnX,SAASuT,IACnB4D,GAAAA,EAAUnX,SAASyT,IACnB0D,GAAAA,EAAUnX,SAAS0T,IACnByD,GAAAA,EAAUnX,SAAS2T,IACnBwD,GAAAA,EAAUnX,SAAS4T,IACnBuD,GAAAA,EAAUnX,SAAS+T,IACnBoD,GAAAA,EAAUnX,SAASgU,IACnBmD,GAAAA,EAAUnX,SAASiU,IACnBkD,GAAAA,EAAUnX,SAASmU,IACnBgD,GAAAA,EAAUnX,SAASsU,IACnB6C,GAAAA,EAAUnX,SAAS2U,IACnBwC,GAAAA,EAAUnX,SAASiV,IACnBkC,GAAAA,EAAUnX,SAASmV,IACnBgC,GAAAA,EAAUnX,SAASqV,IACnB8B,GAAAA,EAAUnX,SAAS0V,IACnByB,GAAAA,EAAUnX,SAAS2V,IACnBwB,GAAAA,EAAUnX,SAAS4W,IACnBO,GAAAA,EAAUnX,SAAS6W,G,sZC7iBnBM,EAAAA,EAAUnX,SAASyP,EAAAA,GACnB0H,EAAAA,EAAUnX,SAASgY,EAAAA,GACnBb,EAAAA,EAAUnX,SAASiY,EAAAA,GACnBd,EAAAA,EAAUnX,SAASkY,EAAAA,GACnBf,EAAAA,EAAUnX,SAAS0N,EAAAA,GACnByJ,EAAAA,EAAUnX,SAASwY,EAAAA,GACnBrB,EAAAA,EAAUnX,SAASyU,EAAAA,GACnB0C,EAAAA,EAAUnX,SAASgF,EAAAA,GACnBmS,EAAAA,EAAUnX,SAASqb,EAAAA,GACnBlE,EAAAA,EAAUnX,SAASud,EAAAA,GACnBpG,EAAAA,EAAUnX,SAAS6e,EAAAA,GACnB1H,EAAAA,EAAUnX,SAASkQ,EAAAA,GACnBiH,EAAAA,EAAUnX,SAAShB,EAAAA,GACnBmY,EAAAA,EAAUnX,SAASqJ,EAAAA,GACnB8N,EAAAA,EAAUnX,SAASqe,EAAAA,GACnBlH,EAAAA,EAAUnX,SAASggB,EAAAA,GACnB7I,EAAAA,EAAUnX,SAAS2d,EAAAA,GACnBxG,EAAAA,EAAUnX,SAASmN,EAAAA,GACnBgK,EAAAA,EAAUnX,SAAS6gB,EAAAA,GACnB1J,EAAAA,EAAUnX,SAAS+D,EAAAA,GACnBoT,EAAAA,EAAUnX,SAASqY,EAAAA,GACnBlB,EAAAA,EAAUnX,SAASiiB,EAAAA,GACnB9K,EAAAA,EAAUnX,SAAS8iB,EAAAA,GACnB3L,EAAAA,EAAUnX,SAASsb,EAAAA,GACnBnE,EAAAA,EAAUnX,SAAS+N,EAAAA,GACnBoJ,EAAAA,EAAUnX,SAASmQ,EAAAA,GACnBgH,EAAAA,EAAUnX,SAAShC,EAAAA,GACnBmZ,EAAAA,EAAUnX,SAASD,EAAAA,GACnBoX,EAAAA,EAAUnX,SAASiB,EAAAA,GACnBkW,EAAAA,EAAUnX,SAAS0B,EAAAA,GACnByV,EAAAA,EAAUnX,SAASsC,EAAAA,GACnB6U,EAAAA,EAAUnX,SAAS6C,EAAAA,GACnBsU,EAAAA,EAAUnX,SAAS8C,EAAAA,GACnBqU,EAAAA,EAAUnX,SAASqD,EAAAA,GACnB8T,EAAAA,EAAUnX,SAASiZ,EAAAA,GACnB9B,EAAAA,EAAUnX,SAAS6D,EAAAA,E,0DCzEfogB,EAAW,EAGXC,EAAmB,CAAC,EAEpBC,EAAI,CAUNta,KAAM,CAiBJqK,KAAM,SAAUkQ,GACd,OAAOvT,OAAOwT,UAAUC,SAASC,KAAKH,GAAGI,MAAM,GAAI,EACrD,EAQAC,MAAO,SAAUvN,GAIf,OAHKA,EAAU,MACbrG,OAAOC,eAAeoG,EAAK,OAAQ,CAAC7S,QAAS4f,IAExC/M,EAAU,IACnB,EAYApN,MAAO,SAAS4a,EAAUN,EAAGO,GAG3B,IAAI7a,EACA5C,EACJ,OAJAyd,EAAUA,GAAW,CAAC,EAIdR,EAAEta,KAAKqK,KAAKkQ,IAClB,IAAK,SAEH,GADAld,EAAKid,EAAEta,KAAK4a,MAAML,GACdO,EAAQzd,GACV,OAAOyd,EAAQzd,GAKjB,IAAK,IAAIhF,KAHT4H,EAA4C,CAAC,EAC7C6a,EAAQzd,GAAM4C,EAEEsa,EACVA,EAAEQ,eAAe1iB,KACnB4H,EAAM5H,GAAOwiB,EAAUN,EAAEliB,GAAMyiB,IAInC,OAA2B7a,EAE7B,IAAK,QAEH,OADA5C,EAAKid,EAAEta,KAAK4a,MAAML,GACdO,EAAQzd,GACHyd,EAAQzd,IAEjB4C,EAAQ,GACR6a,EAAQzd,GAAM4C,EAEdsa,EAAEhhB,SAAQ,SAAUiQ,EAAGlS,GACrB2I,EAAM3I,GAAKujB,EAAUrR,EAAGsR,EAC1B,IAE2B7a,GAE7B,QACE,OAAOsa,EAEb,GAUFlmB,UAAW,CAIT2mB,MAAOX,EACPY,UAAWZ,EACXne,KAAMme,EACNa,IAAKb,EA8BLhkB,OAAQ,SAAUgH,EAAI8d,GACpB,IAAI/f,EAAOkf,EAAEta,KAAKC,MAAMqa,EAAEjmB,UAAUgJ,IAEpC,IAAK,IAAIhF,KAAO8iB,EACd/f,EAAK/C,GAAO8iB,EAAM9iB,GAGpB,OAAO+C,CACT,EA6EA9E,aAAc,SAAUV,EAAQwlB,EAAQC,EAAQC,GAE9C,IAAIljB,GADJkjB,EAAOA,GAA4BhB,EAAW,WAC3B1kB,GAEf2lB,EAAM,CAAC,EAEX,IAAK,IAAI7Z,KAAStJ,EAChB,GAAIA,EAAQ2iB,eAAerZ,GAAQ,CACjC,GAAIA,GAAS0Z,EACX,IAAK,IAAII,KAAYH,EACfA,EAAON,eAAeS,KACxBD,EAAIC,GAAYH,EAAOG,IAMxBH,EAAON,eAAerZ,KACzB6Z,EAAI7Z,GAAStJ,EAAQsJ,GAEzB,CAGF,IAAI+Z,EAAMH,EAAK1lB,GAUf,OATA0lB,EAAK1lB,GAAU2lB,EAGfjB,EAAEjmB,UAAUqnB,IAAIpB,EAAEjmB,WAAW,SAAUgE,EAAKmC,GACtCA,IAAUihB,GAAOpjB,GAAOzC,IAC1B+lB,KAAKtjB,GAAOkjB,EAEhB,IAEOA,CACT,EAGAG,IAAK,SAASA,EAAInB,EAAGqB,EAAUvR,EAAMyQ,GACnCA,EAAUA,GAAW,CAAC,EAEtB,IAAIF,EAAQN,EAAEta,KAAK4a,MAEnB,IAAK,IAAItjB,KAAKijB,EACZ,GAAIA,EAAEQ,eAAezjB,GAAI,CACvBskB,EAASlB,KAAKH,EAAGjjB,EAAGijB,EAAEjjB,GAAI+S,GAAQ/S,GAElC,IAAIU,EAAWuiB,EAAEjjB,GACbukB,EAAevB,EAAEta,KAAKqK,KAAKrS,GAEV,WAAjB6jB,GAA8Bf,EAAQF,EAAM5iB,IAGpB,UAAjB6jB,GAA6Bf,EAAQF,EAAM5iB,MACpD8iB,EAAQF,EAAM5iB,KAAa,EAC3B0jB,EAAI1jB,EAAU4jB,EAAUtkB,EAAGwjB,KAJ3BA,EAAQF,EAAM5iB,KAAa,EAC3B0jB,EAAI1jB,EAAU4jB,EAAU,KAAMd,GAKlC,CAEJ,GAGFgB,QAAS,CAAC,EAsBVC,UAAW,SAAU7f,EAAM9D,EAAS8F,GAClC,IAAID,EAAM,CACRpC,KAAMK,EACN9D,QAASA,EACT8F,SAAUA,GAGZ,GADAoc,EAAEvc,MAAMie,IAAI,kBAAmB/d,IAC1BA,EAAI7F,QACP,MAAM,IAAI6jB,MAAM,iBAAmBhe,EAAIC,SAAW,qBAIpD,OAFAD,EAAImO,OAASkO,EAAE4B,SAASje,EAAIpC,KAAMoC,EAAI7F,SACtCkiB,EAAEvc,MAAMie,IAAI,iBAAkB/d,GACvB6O,EAAMqP,UAAU7B,EAAEta,KAAKoc,OAAOne,EAAImO,QAASnO,EAAIC,SACxD,EA0BAge,SAAU,SAAUhgB,EAAM9D,GACxB,IAAIV,EAAOU,EAAQV,KACnB,GAAIA,EAAM,CACR,IAAK,IAAIgK,KAAShK,EAChBU,EAAQsJ,GAAShK,EAAKgK,UAGjBtJ,EAAQV,IACjB,CAEA,IAAI2kB,EAAY,IAAIC,EAKpB,OAJAC,EAASF,EAAWA,EAAUG,KAAMtgB,GAEpCugB,EAAavgB,EAAMmgB,EAAWjkB,EAASikB,EAAUG,KAAM,GA+X3D,SAAiBjhB,GACf,IAAImhB,EAAQ,GACRC,EAAOphB,EAAKihB,KAAKI,KACrB,KAAOD,IAASphB,EAAKshB,MACnBH,EAAMhjB,KAAKijB,EAAKniB,OAChBmiB,EAAOA,EAAKC,KAEd,OAAOF,CACT,CArYWI,CAAQT,EACjB,EAOAte,MAAO,CACLgf,IAAK,CAAC,EAcN/e,IAAK,SAAUwD,EAAMoa,GACnB,IAAI7d,EAAQuc,EAAEvc,MAAMgf,IAEpBhf,EAAMyD,GAAQzD,EAAMyD,IAAS,GAE7BzD,EAAMyD,GAAM9H,KAAKkiB,EACnB,EAWAI,IAAK,SAAUxa,EAAMvD,GACnB,IAAI+e,EAAY1C,EAAEvc,MAAMgf,IAAIvb,GAE5B,GAAKwb,GAAcA,EAAU1Q,OAI7B,IAAK,IAAWsP,EAAPtkB,EAAI,EAAcskB,EAAWoB,EAAU1lB,MAC9CskB,EAAS3d,EAEb,GAGF6O,MAAOA,GAmBT,SAASA,EAAMzC,EAAM7T,EAAS9B,EAAOuoB,GAUnCtB,KAAKtR,KAAOA,EASZsR,KAAKnlB,QAAUA,EAQfmlB,KAAKjnB,MAAQA,EAEbinB,KAAKrP,OAAqC,GAA3B2Q,GAAc,IAAI3Q,MACnC,CAyBA,SAAS4Q,EAAa1oB,EAAS2oB,EAAKjhB,EAAMvG,GACxCnB,EAAQ4oB,UAAYD,EACpB,IAAI9Y,EAAQ7P,EAAQ6oB,KAAKnhB,GACzB,GAAImI,GAAS1O,GAAc0O,EAAM,GAAI,CAEnC,IAAIiZ,EAAmBjZ,EAAM,GAAGiI,OAChCjI,EAAM7F,OAAS8e,EACfjZ,EAAM,GAAKA,EAAM,GAAGsW,MAAM2C,EAC5B,CACA,OAAOjZ,CACT,CAgBA,SAASoY,EAAavgB,EAAMmgB,EAAWjkB,EAASmlB,EAAWC,EAAUC,GACnE,IAAK,IAAI/b,KAAStJ,EAChB,GAAKA,EAAQ2iB,eAAerZ,IAAWtJ,EAAQsJ,GAA/C,CAIA,IAAIgc,EAAWtlB,EAAQsJ,GACvBgc,EAAWC,MAAMC,QAAQF,GAAYA,EAAW,CAACA,GAEjD,IAAK,IAAIxI,EAAI,EAAGA,EAAIwI,EAASpR,SAAU4I,EAAG,CACxC,GAAIuI,GAAWA,EAAQI,OAASnc,EAAQ,IAAMwT,EAC5C,OAGF,IAAI4I,EAAaJ,EAASxI,GACtBtf,EAASkoB,EAAWloB,OACpBD,IAAemoB,EAAWnoB,WAC1BlB,IAAWqpB,EAAWrpB,OACtBC,EAAQopB,EAAWppB,MAEvB,GAAID,IAAWqpB,EAAWtpB,QAAQupB,OAAQ,CAExC,IAAItjB,EAAQqjB,EAAWtpB,QAAQimB,WAAWpW,MAAM,aAAa,GAC7DyZ,EAAWtpB,QAAUiB,OAAOqoB,EAAWtpB,QAAQe,OAAQkF,EAAQ,IACjE,CAKA,IAFA,IAAIjG,EAAUspB,EAAWtpB,SAAWspB,EAI9BE,EAAcT,EAAUX,KAAMO,EAAMK,EACxCQ,IAAgB3B,EAAUQ,QAGtBY,GAAWN,GAAOM,EAAQQ,OAF9Bd,GAAOa,EAAYxjB,MAAM8R,OAAQ0R,EAAcA,EAAYpB,KAC3D,CAKA,IAAI3P,EAAM+Q,EAAYxjB,MAEtB,GAAI6hB,EAAU/P,OAASpQ,EAAKoQ,OAE1B,OAGF,KAAIW,aAAeH,GAAnB,CAIA,IACIzI,EADA6Z,EAAc,EAGlB,GAAIzpB,EAAQ,CAEV,KADA4P,EAAQ6Y,EAAa1oB,EAAS2oB,EAAKjhB,EAAMvG,KAC3B0O,EAAM7F,OAAStC,EAAKoQ,OAChC,MAGF,IAAI6R,EAAO9Z,EAAM7F,MACb4f,EAAK/Z,EAAM7F,MAAQ6F,EAAM,GAAGiI,OAC5B+R,EAAIlB,EAIR,IADAkB,GAAKL,EAAYxjB,MAAM8R,OAChB6R,GAAQE,GAEbA,IADAL,EAAcA,EAAYpB,MACTpiB,MAAM8R,OAOzB,GAHA6Q,EADAkB,GAAKL,EAAYxjB,MAAM8R,OAInB0R,EAAYxjB,iBAAiBsS,EAC/B,SAIF,IACE,IAAIwR,EAAIN,EACRM,IAAMjC,EAAUQ,OAASwB,EAAID,GAAyB,kBAAZE,EAAE9jB,OAC5C8jB,EAAIA,EAAE1B,KAENsB,IACAG,GAAKC,EAAE9jB,MAAM8R,OAEf4R,IAGAjR,EAAM/Q,EAAKye,MAAMwC,EAAKkB,GACtBha,EAAM7F,OAAS2e,CACjB,MAEE,KADA9Y,EAAQ6Y,EAAa1oB,EAAS,EAAGyY,EAAKtX,IAEpC,SAKAwoB,EAAO9Z,EAAM7F,MAAjB,IACI+f,EAAWla,EAAM,GACjB+W,EAASnO,EAAI0N,MAAM,EAAGwD,GACtBK,EAAQvR,EAAI0N,MAAMwD,EAAOI,EAASjS,QAElC2R,EAAQd,EAAMlQ,EAAIX,OAClBmR,GAAWQ,EAAQR,EAAQQ,QAC7BR,EAAQQ,MAAQA,GAGlB,IAAIQ,EAAaT,EAAYU,KAqB7B,GAnBItD,IACFqD,EAAalC,EAASF,EAAWoC,EAAYrD,GAC7C+B,GAAO/B,EAAO9O,QAGhBqS,EAAYtC,EAAWoC,EAAYP,GAQnCF,EAAczB,EAASF,EAAWoC,EANpB,IAAI3R,EAChBpL,EACA9L,EAAS0kB,EAAE4B,SAASqC,EAAU3oB,GAAU2oB,EACxC7pB,EACA6pB,IAIEC,GACFjC,EAASF,EAAW2B,EAAaQ,GAG/BN,EAAc,EAAG,CAKnB,IAAIU,EAAgB,CAClBf,MAAOnc,EAAQ,IAAMwT,EACrB+I,MAAOA,GAETxB,EACEvgB,EACAmgB,EACAjkB,EACA4lB,EAAYU,KACZvB,EACAyB,GAIEnB,GAAWmB,EAAcX,MAAQR,EAAQQ,QAC3CR,EAAQQ,MAAQW,EAAcX,MAElC,CAzGA,CA0GF,CACF,CAvJA,CAyJJ,CAeA,SAAS3B,IAEP,IAAIE,EAAO,CAAChiB,MAAO,KAAMkkB,KAAM,KAAM9B,KAAM,MAEvCC,EAAO,CAACriB,MAAO,KAAMkkB,KAAMlC,EAAMI,KAAM,MAC3CJ,EAAKI,KAAOC,EAGZlB,KAAKa,KAAOA,EAEZb,KAAKkB,KAAOA,EACZlB,KAAKrP,OAAS,CAChB,CAWA,SAASiQ,EAAShhB,EAAMohB,EAAMniB,GAE5B,IAAIoiB,EAAOD,EAAKC,KAEZiC,EAAU,CAACrkB,MAAOA,EAAOkkB,KAAM/B,EAAMC,KAAMA,GAK/C,OAJAD,EAAKC,KAAOiC,EACZjC,EAAK8B,KAAOG,EACZtjB,EAAK+Q,SAEEuS,CACT,CASA,SAASF,EAAYpjB,EAAMohB,EAAMmC,GAE/B,IADA,IAAIlC,EAAOD,EAAKC,KACPtlB,EAAI,EAAGA,EAAIwnB,GAASlC,IAASrhB,EAAKshB,KAAMvlB,IAC/CslB,EAAOA,EAAKA,KAEdD,EAAKC,KAAOA,EACZA,EAAK8B,KAAO/B,EACZphB,EAAK+Q,QAAUhV,CACjB,CAgBO,MAAMlD,EAAQkmB,ECrtBfyE,EAAM,CAAC,EAAEhE,eAGf,SAASiE,IAAa,CAEtBA,EAAUxE,UAAYpmB,EAIf,MAAMkZ,EAAY,IAAI0R,EAG7B1R,EAAUyO,UAqBV,SAAmBvhB,EAAO0D,GACxB,GAAqB,kBAAV1D,EACT,MAAM,IAAIykB,UAAU,uCAAyCzkB,EAAQ,KAIvE,IAAIpC,EAEAoJ,EAKJ,GAAItD,GAAgC,kBAAbA,EACrB9F,EAAU8F,MACL,CAGL,GAFAsD,EAAOtD,EAEa,kBAATsD,EACT,MAAM,IAAIyd,UAAU,sCAAwCzd,EAAO,KAGrE,IAAIud,EAAIrE,KAAKpN,EAAUjZ,UAAWmN,GAGhC,MAAM,IAAIya,MAAM,sBAAwBza,EAAO,uBAF/CpJ,EAAUkV,EAAUjZ,UAAUmN,EAIlC,CAEA,MAAO,CACL6I,KAAM,OAEN6U,SAAU9qB,EAAM2nB,UAAUrB,KAAKpN,EAAW9S,EAAOpC,EAASoJ,GAE9D,EAtDA8L,EAAUnX,SAgEV,SAAkBgpB,GAChB,GAAsB,oBAAXA,IAA0BA,EAAOlqB,YAC1C,MAAM,IAAIgnB,MAAM,0CAA4CkD,EAAS,KAIlEJ,EAAIrE,KAAKpN,EAAUjZ,UAAW8qB,EAAOlqB,cACxCkqB,EAAO7R,EAEX,EAxEAA,EAAU5Y,MAiFV,SAAewJ,EAAUxJ,GACvB,MAAML,EAAYiZ,EAAUjZ,UAE5B,IAWIgE,EAXA6T,EAAM,CAAC,EAEa,kBAAbhO,EACLxJ,IACFwX,EAAIhO,GAAYxJ,GAGlBwX,EAAMhO,EAMR,IAAK7F,KAAO6T,EACV,GAAI6S,EAAIrE,KAAKxO,EAAK7T,GAAM,CACtB,MAAMmC,EAAQ0R,EAAI7T,GACZkD,EAAwB,kBAAVf,EAAqB,CAACA,GAASA,EACnD,IAAIgE,GAAS,EAEb,OAASA,EAAQjD,EAAK+Q,QACpBjY,EAAUkH,EAAKiD,IAAUnK,EAAUgE,EAEvC,CAEJ,EA3GAiV,EAAU8R,WAmHV,SAAoBC,GAClB,GAA+B,kBAApBA,EACT,MAAM,IAAIJ,UACR,iDAAmDI,EAAkB,KAIzE,OAAON,EAAIrE,KAAKpN,EAAUjZ,UAAWgrB,EACvC,EA1HA/R,EAAUgS,cAiIV,WACE,MAAMjrB,EAAYiZ,EAAUjZ,UAEtBkH,EAAO,GAEb,IAAI2C,EAEJ,IAAKA,KAAY7J,EAEb0qB,EAAIrE,KAAKrmB,EAAW6J,IACW,kBAAxB7J,EAAU6J,IAEjB3C,EAAK7B,KAAKwE,GAId,OAAO3C,CACT,EA/IA+R,EAAUtN,KAAKoc,OA8Mf,SAAgBhQ,GACd,OAAOA,CACT,EA9MAkB,EAAUR,MAAMqP,UAoJhB,SAASA,EAAU3hB,EAAO0D,GACxB,GAAqB,kBAAV1D,EACT,MAAO,CAAC6P,KAAM,OAAQ7P,SAGxB,GAAImjB,MAAMC,QAAQpjB,GAAQ,CAExB,MAAM+kB,EAAS,GACf,IAAI/gB,GAAS,EAEb,OAASA,EAAQhE,EAAM8R,QAEF,KAAjB9R,EAAMgE,IACW,OAAjBhE,EAAMgE,SACWghB,IAAjBhlB,EAAMgE,IAGN+gB,EAAO7lB,KAAKyiB,EAAU3hB,EAAMgE,GAAQN,IAIxC,OAAOqhB,CACT,CAGA,MAAMthB,EAAM,CACVoM,KAAM7P,EAAM6P,KACZ7T,QAAS2lB,EAAU3hB,EAAMhE,QAAS0H,GAClC9D,IAAK,OACLqlB,QAAS,CAAC,QAASjlB,EAAM6P,MACzBE,WAAY,CAAC,EACbrM,YAGE1D,EAAM9F,OACRuJ,EAAIwhB,QAAQ/lB,QACiB,kBAAhBc,EAAM9F,MAAqB,CAAC8F,EAAM9F,OAAS8F,EAAM9F,OAQhE,OAHA4Y,EAAUvP,MAAMie,IAAI,OAAQ/d,IAGrByhB,EAAAA,EAAAA,GACLzhB,EAAI7D,IAAM,IAAM6D,EAAIwhB,QAAQ7oB,KAAK,KAmBrC,SAAoB+oB,GAElB,IAAItnB,EAEJ,IAAKA,KAAOsnB,EACNZ,EAAIrE,KAAKiF,EAAOtnB,KAClBsnB,EAAMtnB,IAAOunB,EAAAA,EAAAA,GAAcD,EAAMtnB,KAIrC,OAAOsnB,CACT,CA7BIpV,CAAWtM,EAAIsM,YACftM,EAAIzH,QAER,C","sources":["../../node_modules/refractor/lang/r.js","../../node_modules/refractor/lang/regex.js","../../node_modules/refractor/lang/ruby.js","../../node_modules/refractor/lang/rust.js","../../node_modules/refractor/lang/sass.js","../../node_modules/refractor/lang/scheme.js","../../node_modules/refractor/lang/scss.js","../../node_modules/refractor/lang/sql.js","../../node_modules/refractor/lang/swift.js","../../node_modules/refractor/lang/typescript.js","../../node_modules/refractor/lang/vbnet.js","../../node_modules/refractor/lang/yaml.js","../../node_modules/refractor/lang/textile.js","../../node_modules/refractor/lang/uri.js","../../node_modules/refractor/lang/scala.js","../../node_modules/refractor/lang/stylus.js","../../node_modules/refractor/lang/twig.js","../../node_modules/refractor/lang/qsharp.js","../../node_modules/refractor/lang/q.js","../../node_modules/refractor/lang/qml.js","../../node_modules/refractor/lang/qore.js","../../node_modules/refractor/lang/racket.js","../../node_modules/refractor/lang/tsx.js","../../node_modules/refractor/lang/reason.js","../../node_modules/refractor/lang/rego.js","../../node_modules/refractor/lang/renpy.js","../../node_modules/refractor/lang/rescript.js","../../node_modules/refractor/lang/rest.js","../../node_modules/refractor/lang/rip.js","../../node_modules/refractor/lang/roboconf.js","../../node_modules/refractor/lang/robotframework.js","../../node_modules/refractor/lang/sas.js","../../node_modules/refractor/lang/shell-session.js","../../node_modules/refractor/lang/smali.js","../../node_modules/refractor/lang/smalltalk.js","../../node_modules/refractor/lang/smarty.js","../../node_modules/refractor/lang/sml.js","../../node_modules/refractor/lang/solidity.js","../../node_modules/refractor/lang/solution-file.js","../../node_modules/refractor/lang/soy.js","../../node_modules/refractor/lang/turtle.js","../../node_modules/refractor/lang/sparql.js","../../node_modules/refractor/lang/splunk-spl.js","../../node_modules/refractor/lang/sqf.js","../../node_modules/refractor/lang/squirrel.js","../../node_modules/refractor/lang/stan.js","../../node_modules/refractor/lang/stata.js","../../node_modules/refractor/lang/supercollider.js","../../node_modules/refractor/lang/systemd.js","../../node_modules/refractor/lang/t4-templating.js","../../node_modules/refractor/lang/t4-cs.js","../../node_modules/refractor/lang/t4-vb.js","../../node_modules/refractor/lang/tap.js","../../node_modules/refractor/lang/tcl.js","../../node_modules/refractor/lang/tt2.js","../../node_modules/refractor/lang/toml.js","../../node_modules/refractor/lang/tremor.js","../../node_modules/refractor/lang/typoscript.js","../../node_modules/refractor/lang/unrealscript.js","../../node_modules/refractor/lang/uorazor.js","../../node_modules/refractor/lang/v.js","../../node_modules/refractor/lang/vala.js","../../node_modules/refractor/lang/velocity.js","../../node_modules/refractor/lang/verilog.js","../../node_modules/refractor/lang/vhdl.js","../../node_modules/refractor/lang/vim.js","../../node_modules/refractor/lang/visual-basic.js","../../node_modules/refractor/lang/warpscript.js","../../node_modules/refractor/lang/wasm.js","../../node_modules/refractor/lang/web-idl.js","../../node_modules/refractor/lang/wgsl.js","../../node_modules/refractor/lang/wiki.js","../../node_modules/refractor/lang/wolfram.js","../../node_modules/refractor/lang/wren.js","../../node_modules/refractor/lang/xeora.js","../../node_modules/refractor/lang/xml-doc.js","../../node_modules/refractor/lang/xojo.js","../../node_modules/refractor/lang/xquery.js","../../node_modules/refractor/lang/yang.js","../../node_modules/refractor/lang/zig.js","../../node_modules/refractor/lib/all.js","../../node_modules/refractor/lib/common.js","../../node_modules/refractor/lib/prism-core.js","../../node_modules/refractor/lib/core.js"],"sourcesContent":["// @ts-nocheck\nr.displayName = 'r'\nr.aliases = []\n\n/** @type {import('../core.js').Syntax} */\nexport default function r(Prism) {\n Prism.languages.r = {\n comment: /#.*/,\n string: {\n pattern: /(['\"])(?:\\\\.|(?!\\1)[^\\\\\\r\\n])*\\1/,\n greedy: true\n },\n 'percent-operator': {\n // Includes user-defined operators\n // and %%, %*%, %/%, %in%, %o%, %x%\n pattern: /%[^%\\s]*%/,\n alias: 'operator'\n },\n boolean: /\\b(?:FALSE|TRUE)\\b/,\n ellipsis: /\\.\\.(?:\\.|\\d+)/,\n number: [\n /\\b(?:Inf|NaN)\\b/,\n /(?:\\b0x[\\dA-Fa-f]+(?:\\.\\d*)?|\\b\\d+(?:\\.\\d*)?|\\B\\.\\d+)(?:[EePp][+-]?\\d+)?[iL]?/\n ],\n keyword:\n /\\b(?:NA|NA_character_|NA_complex_|NA_integer_|NA_real_|NULL|break|else|for|function|if|in|next|repeat|while)\\b/,\n operator: /->?>?|<(?:=|<?-)?|[>=!]=?|::?|&&?|\\|\\|?|[+*\\/^$@~]/,\n punctuation: /[(){}\\[\\],;]/\n }\n}\n","// @ts-nocheck\nregex.displayName = 'regex'\nregex.aliases = []\n\n/** @type {import('../core.js').Syntax} */\nexport default function regex(Prism) {\n ;(function (Prism) {\n var specialEscape = {\n pattern: /\\\\[\\\\(){}[\\]^$+*?|.]/,\n alias: 'escape'\n }\n var escape =\n /\\\\(?:x[\\da-fA-F]{2}|u[\\da-fA-F]{4}|u\\{[\\da-fA-F]+\\}|0[0-7]{0,2}|[123][0-7]{2}|c[a-zA-Z]|.)/\n var charSet = {\n pattern: /\\.|\\\\[wsd]|\\\\p\\{[^{}]+\\}/i,\n alias: 'class-name'\n }\n var charSetWithoutDot = {\n pattern: /\\\\[wsd]|\\\\p\\{[^{}]+\\}/i,\n alias: 'class-name'\n }\n var rangeChar = '(?:[^\\\\\\\\-]|' + escape.source + ')'\n var range = RegExp(rangeChar + '-' + rangeChar)\n\n // the name of a capturing group\n var groupName = {\n pattern: /(<|')[^<>']+(?=[>']$)/,\n lookbehind: true,\n alias: 'variable'\n }\n Prism.languages.regex = {\n 'char-class': {\n pattern: /((?:^|[^\\\\])(?:\\\\\\\\)*)\\[(?:[^\\\\\\]]|\\\\[\\s\\S])*\\]/,\n lookbehind: true,\n inside: {\n 'char-class-negation': {\n pattern: /(^\\[)\\^/,\n lookbehind: true,\n alias: 'operator'\n },\n 'char-class-punctuation': {\n pattern: /^\\[|\\]$/,\n alias: 'punctuation'\n },\n range: {\n pattern: range,\n inside: {\n escape: escape,\n 'range-punctuation': {\n pattern: /-/,\n alias: 'operator'\n }\n }\n },\n 'special-escape': specialEscape,\n 'char-set': charSetWithoutDot,\n escape: escape\n }\n },\n 'special-escape': specialEscape,\n 'char-set': charSet,\n backreference: [\n {\n // a backreference which is not an octal escape\n pattern: /\\\\(?![123][0-7]{2})[1-9]/,\n alias: 'keyword'\n },\n {\n pattern: /\\\\k<[^<>']+>/,\n alias: 'keyword',\n inside: {\n 'group-name': groupName\n }\n }\n ],\n anchor: {\n pattern: /[$^]|\\\\[ABbGZz]/,\n alias: 'function'\n },\n escape: escape,\n group: [\n {\n // https://docs.oracle.com/javase/10/docs/api/java/util/regex/Pattern.html\n // https://docs.microsoft.com/en-us/dotnet/standard/base-types/regular-expression-language-quick-reference?view=netframework-4.7.2#grouping-constructs\n\n // (), (?<name>), (?'name'), (?>), (?:), (?=), (?!), (?<=), (?<!), (?is-m), (?i-m:)\n pattern:\n /\\((?:\\?(?:<[^<>']+>|'[^<>']+'|[>:]|<?[=!]|[idmnsuxU]+(?:-[idmnsuxU]+)?:?))?/,\n alias: 'punctuation',\n inside: {\n 'group-name': groupName\n }\n },\n {\n pattern: /\\)/,\n alias: 'punctuation'\n }\n ],\n quantifier: {\n pattern: /(?:[+*?]|\\{\\d+(?:,\\d*)?\\})[?+]?/,\n alias: 'number'\n },\n alternation: {\n pattern: /\\|/,\n alias: 'keyword'\n }\n }\n })(Prism)\n}\n","// @ts-nocheck\nimport refractorClike from './clike.js'\nruby.displayName = 'ruby'\nruby.aliases = ['rb']\n\n/** @type {import('../core.js').Syntax} */\nexport default function ruby(Prism) {\n Prism.register(refractorClike)\n /**\n * Original by Samuel Flores\n *\n * Adds the following new token classes:\n * constant, builtin, variable, symbol, regex\n */\n ;(function (Prism) {\n Prism.languages.ruby = Prism.languages.extend('clike', {\n comment: {\n pattern: /#.*|^=begin\\s[\\s\\S]*?^=end/m,\n greedy: true\n },\n 'class-name': {\n pattern:\n /(\\b(?:class|module)\\s+|\\bcatch\\s+\\()[\\w.\\\\]+|\\b[A-Z_]\\w*(?=\\s*\\.\\s*new\\b)/,\n lookbehind: true,\n inside: {\n punctuation: /[.\\\\]/\n }\n },\n keyword:\n /\\b(?:BEGIN|END|alias|and|begin|break|case|class|def|define_method|defined|do|each|else|elsif|end|ensure|extend|for|if|in|include|module|new|next|nil|not|or|prepend|private|protected|public|raise|redo|require|rescue|retry|return|self|super|then|throw|undef|unless|until|when|while|yield)\\b/,\n operator:\n /\\.{2,3}|&\\.|===|<?=>|[!=]?~|(?:&&|\\|\\||<<|>>|\\*\\*|[+\\-*/%<>!^&|=])=?|[?:]/,\n punctuation: /[(){}[\\].,;]/\n })\n Prism.languages.insertBefore('ruby', 'operator', {\n 'double-colon': {\n pattern: /::/,\n alias: 'punctuation'\n }\n })\n var interpolation = {\n pattern: /((?:^|[^\\\\])(?:\\\\{2})*)#\\{(?:[^{}]|\\{[^{}]*\\})*\\}/,\n lookbehind: true,\n inside: {\n content: {\n pattern: /^(#\\{)[\\s\\S]+(?=\\}$)/,\n lookbehind: true,\n inside: Prism.languages.ruby\n },\n delimiter: {\n pattern: /^#\\{|\\}$/,\n alias: 'punctuation'\n }\n }\n }\n delete Prism.languages.ruby.function\n var percentExpression =\n '(?:' +\n [\n /([^a-zA-Z0-9\\s{(\\[<=])(?:(?!\\1)[^\\\\]|\\\\[\\s\\S])*\\1/.source,\n /\\((?:[^()\\\\]|\\\\[\\s\\S]|\\((?:[^()\\\\]|\\\\[\\s\\S])*\\))*\\)/.source,\n /\\{(?:[^{}\\\\]|\\\\[\\s\\S]|\\{(?:[^{}\\\\]|\\\\[\\s\\S])*\\})*\\}/.source,\n /\\[(?:[^\\[\\]\\\\]|\\\\[\\s\\S]|\\[(?:[^\\[\\]\\\\]|\\\\[\\s\\S])*\\])*\\]/.source,\n /<(?:[^<>\\\\]|\\\\[\\s\\S]|<(?:[^<>\\\\]|\\\\[\\s\\S])*>)*>/.source\n ].join('|') +\n ')'\n var symbolName =\n /(?:\"(?:\\\\.|[^\"\\\\\\r\\n])*\"|(?:\\b[a-zA-Z_]\\w*|[^\\s\\0-\\x7F]+)[?!]?|\\$.)/\n .source\n Prism.languages.insertBefore('ruby', 'keyword', {\n 'regex-literal': [\n {\n pattern: RegExp(\n /%r/.source + percentExpression + /[egimnosux]{0,6}/.source\n ),\n greedy: true,\n inside: {\n interpolation: interpolation,\n regex: /[\\s\\S]+/\n }\n },\n {\n pattern:\n /(^|[^/])\\/(?!\\/)(?:\\[[^\\r\\n\\]]+\\]|\\\\.|[^[/\\\\\\r\\n])+\\/[egimnosux]{0,6}(?=\\s*(?:$|[\\r\\n,.;})#]))/,\n lookbehind: true,\n greedy: true,\n inside: {\n interpolation: interpolation,\n regex: /[\\s\\S]+/\n }\n }\n ],\n variable: /[@$]+[a-zA-Z_]\\w*(?:[?!]|\\b)/,\n symbol: [\n {\n pattern: RegExp(/(^|[^:]):/.source + symbolName),\n lookbehind: true,\n greedy: true\n },\n {\n pattern: RegExp(\n /([\\r\\n{(,][ \\t]*)/.source + symbolName + /(?=:(?!:))/.source\n ),\n lookbehind: true,\n greedy: true\n }\n ],\n 'method-definition': {\n pattern: /(\\bdef\\s+)\\w+(?:\\s*\\.\\s*\\w+)?/,\n lookbehind: true,\n inside: {\n function: /\\b\\w+$/,\n keyword: /^self\\b/,\n 'class-name': /^\\w+/,\n punctuation: /\\./\n }\n }\n })\n Prism.languages.insertBefore('ruby', 'string', {\n 'string-literal': [\n {\n pattern: RegExp(/%[qQiIwWs]?/.source + percentExpression),\n greedy: true,\n inside: {\n interpolation: interpolation,\n string: /[\\s\\S]+/\n }\n },\n {\n pattern:\n /(\"|')(?:#\\{[^}]+\\}|#(?!\\{)|\\\\(?:\\r\\n|[\\s\\S])|(?!\\1)[^\\\\#\\r\\n])*\\1/,\n greedy: true,\n inside: {\n interpolation: interpolation,\n string: /[\\s\\S]+/\n }\n },\n {\n pattern: /<<[-~]?([a-z_]\\w*)[\\r\\n](?:.*[\\r\\n])*?[\\t ]*\\1/i,\n alias: 'heredoc-string',\n greedy: true,\n inside: {\n delimiter: {\n pattern: /^<<[-~]?[a-z_]\\w*|\\b[a-z_]\\w*$/i,\n inside: {\n symbol: /\\b\\w+/,\n punctuation: /^<<[-~]?/\n }\n },\n interpolation: interpolation,\n string: /[\\s\\S]+/\n }\n },\n {\n pattern: /<<[-~]?'([a-z_]\\w*)'[\\r\\n](?:.*[\\r\\n])*?[\\t ]*\\1/i,\n alias: 'heredoc-string',\n greedy: true,\n inside: {\n delimiter: {\n pattern: /^<<[-~]?'[a-z_]\\w*'|\\b[a-z_]\\w*$/i,\n inside: {\n symbol: /\\b\\w+/,\n punctuation: /^<<[-~]?'|'$/\n }\n },\n string: /[\\s\\S]+/\n }\n }\n ],\n 'command-literal': [\n {\n pattern: RegExp(/%x/.source + percentExpression),\n greedy: true,\n inside: {\n interpolation: interpolation,\n command: {\n pattern: /[\\s\\S]+/,\n alias: 'string'\n }\n }\n },\n {\n pattern: /`(?:#\\{[^}]+\\}|#(?!\\{)|\\\\(?:\\r\\n|[\\s\\S])|[^\\\\`#\\r\\n])*`/,\n greedy: true,\n inside: {\n interpolation: interpolation,\n command: {\n pattern: /[\\s\\S]+/,\n alias: 'string'\n }\n }\n }\n ]\n })\n delete Prism.languages.ruby.string\n Prism.languages.insertBefore('ruby', 'number', {\n builtin:\n /\\b(?:Array|Bignum|Binding|Class|Continuation|Dir|Exception|FalseClass|File|Fixnum|Float|Hash|IO|Integer|MatchData|Method|Module|NilClass|Numeric|Object|Proc|Range|Regexp|Stat|String|Struct|Symbol|TMS|Thread|ThreadGroup|Time|TrueClass)\\b/,\n constant: /\\b[A-Z][A-Z0-9_]*(?:[?!]|\\b)/\n })\n Prism.languages.rb = Prism.languages.ruby\n })(Prism)\n}\n","// @ts-nocheck\nrust.displayName = 'rust'\nrust.aliases = []\n\n/** @type {import('../core.js').Syntax} */\nexport default function rust(Prism) {\n ;(function (Prism) {\n var multilineComment = /\\/\\*(?:[^*/]|\\*(?!\\/)|\\/(?!\\*)|<self>)*\\*\\//.source\n for (var i = 0; i < 2; i++) {\n // support 4 levels of nested comments\n multilineComment = multilineComment.replace(/<self>/g, function () {\n return multilineComment\n })\n }\n multilineComment = multilineComment.replace(/<self>/g, function () {\n return /[^\\s\\S]/.source\n })\n Prism.languages.rust = {\n comment: [\n {\n pattern: RegExp(/(^|[^\\\\])/.source + multilineComment),\n lookbehind: true,\n greedy: true\n },\n {\n pattern: /(^|[^\\\\:])\\/\\/.*/,\n lookbehind: true,\n greedy: true\n }\n ],\n string: {\n pattern: /b?\"(?:\\\\[\\s\\S]|[^\\\\\"])*\"|b?r(#*)\"(?:[^\"]|\"(?!\\1))*\"\\1/,\n greedy: true\n },\n char: {\n pattern:\n /b?'(?:\\\\(?:x[0-7][\\da-fA-F]|u\\{(?:[\\da-fA-F]_*){1,6}\\}|.)|[^\\\\\\r\\n\\t'])'/,\n greedy: true\n },\n attribute: {\n pattern: /#!?\\[(?:[^\\[\\]\"]|\"(?:\\\\[\\s\\S]|[^\\\\\"])*\")*\\]/,\n greedy: true,\n alias: 'attr-name',\n inside: {\n string: null // see below\n }\n },\n\n // Closure params should not be confused with bitwise OR |\n 'closure-params': {\n pattern: /([=(,:]\\s*|\\bmove\\s*)\\|[^|]*\\||\\|[^|]*\\|(?=\\s*(?:\\{|->))/,\n lookbehind: true,\n greedy: true,\n inside: {\n 'closure-punctuation': {\n pattern: /^\\||\\|$/,\n alias: 'punctuation'\n },\n rest: null // see below\n }\n },\n\n 'lifetime-annotation': {\n pattern: /'\\w+/,\n alias: 'symbol'\n },\n 'fragment-specifier': {\n pattern: /(\\$\\w+:)[a-z]+/,\n lookbehind: true,\n alias: 'punctuation'\n },\n variable: /\\$\\w+/,\n 'function-definition': {\n pattern: /(\\bfn\\s+)\\w+/,\n lookbehind: true,\n alias: 'function'\n },\n 'type-definition': {\n pattern: /(\\b(?:enum|struct|trait|type|union)\\s+)\\w+/,\n lookbehind: true,\n alias: 'class-name'\n },\n 'module-declaration': [\n {\n pattern: /(\\b(?:crate|mod)\\s+)[a-z][a-z_\\d]*/,\n lookbehind: true,\n alias: 'namespace'\n },\n {\n pattern:\n /(\\b(?:crate|self|super)\\s*)::\\s*[a-z][a-z_\\d]*\\b(?:\\s*::(?:\\s*[a-z][a-z_\\d]*\\s*::)*)?/,\n lookbehind: true,\n alias: 'namespace',\n inside: {\n punctuation: /::/\n }\n }\n ],\n keyword: [\n // https://github.com/rust-lang/reference/blob/master/src/keywords.md\n /\\b(?:Self|abstract|as|async|await|become|box|break|const|continue|crate|do|dyn|else|enum|extern|final|fn|for|if|impl|in|let|loop|macro|match|mod|move|mut|override|priv|pub|ref|return|self|static|struct|super|trait|try|type|typeof|union|unsafe|unsized|use|virtual|where|while|yield)\\b/,\n // primitives and str\n // https://doc.rust-lang.org/stable/rust-by-example/primitives.html\n /\\b(?:bool|char|f(?:32|64)|[ui](?:8|16|32|64|128|size)|str)\\b/\n ],\n // functions can technically start with an upper-case letter, but this will introduce a lot of false positives\n // and Rust's naming conventions recommend snake_case anyway.\n // https://doc.rust-lang.org/1.0.0/style/style/naming/README.html\n function: /\\b[a-z_]\\w*(?=\\s*(?:::\\s*<|\\())/,\n macro: {\n pattern: /\\b\\w+!/,\n alias: 'property'\n },\n constant: /\\b[A-Z_][A-Z_\\d]+\\b/,\n 'class-name': /\\b[A-Z]\\w*\\b/,\n namespace: {\n pattern: /(?:\\b[a-z][a-z_\\d]*\\s*::\\s*)*\\b[a-z][a-z_\\d]*\\s*::(?!\\s*<)/,\n inside: {\n punctuation: /::/\n }\n },\n // Hex, oct, bin, dec numbers with visual separators and type suffix\n number:\n /\\b(?:0x[\\dA-Fa-f](?:_?[\\dA-Fa-f])*|0o[0-7](?:_?[0-7])*|0b[01](?:_?[01])*|(?:(?:\\d(?:_?\\d)*)?\\.)?\\d(?:_?\\d)*(?:[Ee][+-]?\\d+)?)(?:_?(?:f32|f64|[iu](?:8|16|32|64|size)?))?\\b/,\n boolean: /\\b(?:false|true)\\b/,\n punctuation: /->|\\.\\.=|\\.{1,3}|::|[{}[\\];(),:]/,\n operator: /[-+*\\/%!^]=?|=[=>]?|&[&=]?|\\|[|=]?|<<?=?|>>?=?|[@?]/\n }\n Prism.languages.rust['closure-params'].inside.rest = Prism.languages.rust\n Prism.languages.rust['attribute'].inside['string'] =\n Prism.languages.rust['string']\n })(Prism)\n}\n","// @ts-nocheck\nimport refractorCss from './css.js'\nsass.displayName = 'sass'\nsass.aliases = []\n\n/** @type {import('../core.js').Syntax} */\nexport default function sass(Prism) {\n Prism.register(refractorCss)\n ;(function (Prism) {\n Prism.languages.sass = Prism.languages.extend('css', {\n // Sass comments don't need to be closed, only indented\n comment: {\n pattern: /^([ \\t]*)\\/[\\/*].*(?:(?:\\r?\\n|\\r)\\1[ \\t].+)*/m,\n lookbehind: true,\n greedy: true\n }\n })\n Prism.languages.insertBefore('sass', 'atrule', {\n // We want to consume the whole line\n 'atrule-line': {\n // Includes support for = and + shortcuts\n pattern: /^(?:[ \\t]*)[@+=].+/m,\n greedy: true,\n inside: {\n atrule: /(?:@[\\w-]+|[+=])/\n }\n }\n })\n delete Prism.languages.sass.atrule\n var variable = /\\$[-\\w]+|#\\{\\$[-\\w]+\\}/\n var operator = [\n /[+*\\/%]|[=!]=|<=?|>=?|\\b(?:and|not|or)\\b/,\n {\n pattern: /(\\s)-(?=\\s)/,\n lookbehind: true\n }\n ]\n Prism.languages.insertBefore('sass', 'property', {\n // We want to consume the whole line\n 'variable-line': {\n pattern: /^[ \\t]*\\$.+/m,\n greedy: true,\n inside: {\n punctuation: /:/,\n variable: variable,\n operator: operator\n }\n },\n // We want to consume the whole line\n 'property-line': {\n pattern: /^[ \\t]*(?:[^:\\s]+ *:.*|:[^:\\s].*)/m,\n greedy: true,\n inside: {\n property: [\n /[^:\\s]+(?=\\s*:)/,\n {\n pattern: /(:)[^:\\s]+/,\n lookbehind: true\n }\n ],\n punctuation: /:/,\n variable: variable,\n operator: operator,\n important: Prism.languages.sass.important\n }\n }\n })\n delete Prism.languages.sass.property\n delete Prism.languages.sass.important\n\n // Now that whole lines for other patterns are consumed,\n // what's left should be selectors\n Prism.languages.insertBefore('sass', 'punctuation', {\n selector: {\n pattern:\n /^([ \\t]*)\\S(?:,[^,\\r\\n]+|[^,\\r\\n]*)(?:,[^,\\r\\n]+)*(?:,(?:\\r?\\n|\\r)\\1[ \\t]+\\S(?:,[^,\\r\\n]+|[^,\\r\\n]*)(?:,[^,\\r\\n]+)*)*/m,\n lookbehind: true,\n greedy: true\n }\n })\n })(Prism)\n}\n","// @ts-nocheck\nscheme.displayName = 'scheme'\nscheme.aliases = []\n\n/** @type {import('../core.js').Syntax} */\nexport default function scheme(Prism) {\n ;(function (Prism) {\n Prism.languages.scheme = {\n // this supports \"normal\" single-line comments:\n // ; comment\n // and (potentially nested) multiline comments:\n // #| comment #| nested |# still comment |#\n // (only 1 level of nesting is supported)\n comment:\n /;.*|#;\\s*(?:\\((?:[^()]|\\([^()]*\\))*\\)|\\[(?:[^\\[\\]]|\\[[^\\[\\]]*\\])*\\])|#\\|(?:[^#|]|#(?!\\|)|\\|(?!#)|#\\|(?:[^#|]|#(?!\\|)|\\|(?!#))*\\|#)*\\|#/,\n string: {\n pattern: /\"(?:[^\"\\\\]|\\\\.)*\"/,\n greedy: true\n },\n symbol: {\n pattern: /'[^()\\[\\]#'\\s]+/,\n greedy: true\n },\n char: {\n pattern:\n /#\\\\(?:[ux][a-fA-F\\d]+\\b|[-a-zA-Z]+\\b|[\\uD800-\\uDBFF][\\uDC00-\\uDFFF]|\\S)/,\n greedy: true\n },\n 'lambda-parameter': [\n // https://www.cs.cmu.edu/Groups/AI/html/r4rs/r4rs_6.html#SEC30\n {\n pattern:\n /((?:^|[^'`#])[(\\[]lambda\\s+)(?:[^|()\\[\\]'\\s]+|\\|(?:[^\\\\|]|\\\\.)*\\|)/,\n lookbehind: true\n },\n {\n pattern: /((?:^|[^'`#])[(\\[]lambda\\s+[(\\[])[^()\\[\\]']+/,\n lookbehind: true\n }\n ],\n keyword: {\n pattern:\n /((?:^|[^'`#])[(\\[])(?:begin|case(?:-lambda)?|cond(?:-expand)?|define(?:-library|-macro|-record-type|-syntax|-values)?|defmacro|delay(?:-force)?|do|else|except|export|guard|if|import|include(?:-ci|-library-declarations)?|lambda|let(?:rec)?(?:-syntax|-values|\\*)?|let\\*-values|only|parameterize|prefix|(?:quasi-?)?quote|rename|set!|syntax-(?:case|rules)|unless|unquote(?:-splicing)?|when)(?=[()\\[\\]\\s]|$)/,\n lookbehind: true\n },\n builtin: {\n // all functions of the base library of R7RS plus some of built-ins of R5Rs\n pattern:\n /((?:^|[^'`#])[(\\[])(?:abs|and|append|apply|assoc|ass[qv]|binary-port\\?|boolean=?\\?|bytevector(?:-append|-copy|-copy!|-length|-u8-ref|-u8-set!|\\?)?|caar|cadr|call-with-(?:current-continuation|port|values)|call\\/cc|car|cdar|cddr|cdr|ceiling|char(?:->integer|-ready\\?|\\?|<\\?|<=\\?|=\\?|>\\?|>=\\?)|close-(?:input-port|output-port|port)|complex\\?|cons|current-(?:error|input|output)-port|denominator|dynamic-wind|eof-object\\??|eq\\?|equal\\?|eqv\\?|error|error-object(?:-irritants|-message|\\?)|eval|even\\?|exact(?:-integer-sqrt|-integer\\?|\\?)?|expt|features|file-error\\?|floor(?:-quotient|-remainder|\\/)?|flush-output-port|for-each|gcd|get-output-(?:bytevector|string)|inexact\\??|input-port(?:-open\\?|\\?)|integer(?:->char|\\?)|lcm|length|list(?:->string|->vector|-copy|-ref|-set!|-tail|\\?)?|make-(?:bytevector|list|parameter|string|vector)|map|max|member|memq|memv|min|modulo|negative\\?|newline|not|null\\?|number(?:->string|\\?)|numerator|odd\\?|open-(?:input|output)-(?:bytevector|string)|or|output-port(?:-open\\?|\\?)|pair\\?|peek-char|peek-u8|port\\?|positive\\?|procedure\\?|quotient|raise|raise-continuable|rational\\?|rationalize|read-(?:bytevector|bytevector!|char|error\\?|line|string|u8)|real\\?|remainder|reverse|round|set-c[ad]r!|square|string(?:->list|->number|->symbol|->utf8|->vector|-append|-copy|-copy!|-fill!|-for-each|-length|-map|-ref|-set!|\\?|<\\?|<=\\?|=\\?|>\\?|>=\\?)?|substring|symbol(?:->string|\\?|=\\?)|syntax-error|textual-port\\?|truncate(?:-quotient|-remainder|\\/)?|u8-ready\\?|utf8->string|values|vector(?:->list|->string|-append|-copy|-copy!|-fill!|-for-each|-length|-map|-ref|-set!|\\?)?|with-exception-handler|write-(?:bytevector|char|string|u8)|zero\\?)(?=[()\\[\\]\\s]|$)/,\n lookbehind: true\n },\n operator: {\n pattern: /((?:^|[^'`#])[(\\[])(?:[-+*%/]|[<>]=?|=>?)(?=[()\\[\\]\\s]|$)/,\n lookbehind: true\n },\n number: {\n // The number pattern from [the R7RS spec](https://small.r7rs.org/attachment/r7rs.pdf).\n //\n // <number> := <num 2>|<num 8>|<num 10>|<num 16>\n // <num R> := <prefix R><complex R>\n // <complex R> := <real R>(?:@<real R>|<imaginary R>)?|<imaginary R>\n // <imaginary R> := [+-](?:<ureal R>|(?:inf|nan)\\.0)?i\n // <real R> := [+-]?<ureal R>|[+-](?:inf|nan)\\.0\n // <ureal R> := <uint R>(?:\\/<uint R>)?\n // | <decimal R>\n //\n // <decimal 10> := (?:\\d+(?:\\.\\d*)?|\\.\\d+)(?:e[+-]?\\d+)?\n // <uint R> := <digit R>+\n // <prefix R> := <radix R>(?:#[ei])?|(?:#[ei])?<radix R>\n // <radix 2> := #b\n // <radix 8> := #o\n // <radix 10> := (?:#d)?\n // <radix 16> := #x\n // <digit 2> := [01]\n // <digit 8> := [0-7]\n // <digit 10> := \\d\n // <digit 16> := [0-9a-f]\n //\n // The problem with this grammar is that the resulting regex is way to complex, so we simplify by grouping all\n // non-decimal bases together. This results in a decimal (dec) and combined binary, octal, and hexadecimal (box)\n // pattern:\n pattern: RegExp(\n SortedBNF({\n '<ureal dec>':\n /\\d+(?:\\/\\d+)|(?:\\d+(?:\\.\\d*)?|\\.\\d+)(?:[esfdl][+-]?\\d+)?/.source,\n '<real dec>': /[+-]?<ureal dec>|[+-](?:inf|nan)\\.0/.source,\n '<imaginary dec>': /[+-](?:<ureal dec>|(?:inf|nan)\\.0)?i/.source,\n '<complex dec>':\n /<real dec>(?:@<real dec>|<imaginary dec>)?|<imaginary dec>/\n .source,\n '<num dec>': /(?:#d(?:#[ei])?|#[ei](?:#d)?)?<complex dec>/.source,\n '<ureal box>': /[0-9a-f]+(?:\\/[0-9a-f]+)?/.source,\n '<real box>': /[+-]?<ureal box>|[+-](?:inf|nan)\\.0/.source,\n '<imaginary box>': /[+-](?:<ureal box>|(?:inf|nan)\\.0)?i/.source,\n '<complex box>':\n /<real box>(?:@<real box>|<imaginary box>)?|<imaginary box>/\n .source,\n '<num box>': /#[box](?:#[ei])?|(?:#[ei])?#[box]<complex box>/\n .source,\n '<number>': /(^|[()\\[\\]\\s])(?:<num dec>|<num box>)(?=[()\\[\\]\\s]|$)/\n .source\n }),\n 'i'\n ),\n lookbehind: true\n },\n boolean: {\n pattern: /(^|[()\\[\\]\\s])#(?:[ft]|false|true)(?=[()\\[\\]\\s]|$)/,\n lookbehind: true\n },\n function: {\n pattern:\n /((?:^|[^'`#])[(\\[])(?:[^|()\\[\\]'\\s]+|\\|(?:[^\\\\|]|\\\\.)*\\|)(?=[()\\[\\]\\s]|$)/,\n lookbehind: true\n },\n identifier: {\n pattern: /(^|[()\\[\\]\\s])\\|(?:[^\\\\|]|\\\\.)*\\|(?=[()\\[\\]\\s]|$)/,\n lookbehind: true,\n greedy: true\n },\n punctuation: /[()\\[\\]']/\n }\n\n /**\n * Given a topologically sorted BNF grammar, this will return the RegExp source of last rule of the grammar.\n *\n * @param {Record<string, string>} grammar\n * @returns {string}\n */\n function SortedBNF(grammar) {\n for (var key in grammar) {\n grammar[key] = grammar[key].replace(/<[\\w\\s]+>/g, function (key) {\n return '(?:' + grammar[key].trim() + ')'\n })\n }\n // return the last item\n return grammar[key]\n }\n })(Prism)\n}\n","// @ts-nocheck\nimport refractorCss from './css.js'\nscss.displayName = 'scss'\nscss.aliases = []\n\n/** @type {import('../core.js').Syntax} */\nexport default function scss(Prism) {\n Prism.register(refractorCss)\n Prism.languages.scss = Prism.languages.extend('css', {\n comment: {\n pattern: /(^|[^\\\\])(?:\\/\\*[\\s\\S]*?\\*\\/|\\/\\/.*)/,\n lookbehind: true\n },\n atrule: {\n pattern: /@[\\w-](?:\\([^()]+\\)|[^()\\s]|\\s+(?!\\s))*?(?=\\s+[{;])/,\n inside: {\n rule: /@[\\w-]+/\n // See rest below\n }\n },\n\n // url, compassified\n url: /(?:[-a-z]+-)?url(?=\\()/i,\n // CSS selector regex is not appropriate for Sass\n // since there can be lot more things (var, @ directive, nesting..)\n // a selector must start at the end of a property or after a brace (end of other rules or nesting)\n // it can contain some characters that aren't used for defining rules or end of selector, & (parent selector), or interpolated variable\n // the end of a selector is found when there is no rules in it ( {} or {\\s}) or if there is a property (because an interpolated var\n // can \"pass\" as a selector- e.g: proper#{$erty})\n // this one was hard to do, so please be careful if you edit this one :)\n selector: {\n // Initial look-ahead is used to prevent matching of blank selectors\n pattern:\n /(?=\\S)[^@;{}()]?(?:[^@;{}()\\s]|\\s+(?!\\s)|#\\{\\$[-\\w]+\\})+(?=\\s*\\{(?:\\}|\\s|[^}][^:{}]*[:{][^}]))/,\n inside: {\n parent: {\n pattern: /&/,\n alias: 'important'\n },\n placeholder: /%[-\\w]+/,\n variable: /\\$[-\\w]+|#\\{\\$[-\\w]+\\}/\n }\n },\n property: {\n pattern: /(?:[-\\w]|\\$[-\\w]|#\\{\\$[-\\w]+\\})+(?=\\s*:)/,\n inside: {\n variable: /\\$[-\\w]+|#\\{\\$[-\\w]+\\}/\n }\n }\n })\n Prism.languages.insertBefore('scss', 'atrule', {\n keyword: [\n /@(?:content|debug|each|else(?: if)?|extend|for|forward|function|if|import|include|mixin|return|use|warn|while)\\b/i,\n {\n pattern: /( )(?:from|through)(?= )/,\n lookbehind: true\n }\n ]\n })\n Prism.languages.insertBefore('scss', 'important', {\n // var and interpolated vars\n variable: /\\$[-\\w]+|#\\{\\$[-\\w]+\\}/\n })\n Prism.languages.insertBefore('scss', 'function', {\n 'module-modifier': {\n pattern: /\\b(?:as|hide|show|with)\\b/i,\n alias: 'keyword'\n },\n placeholder: {\n pattern: /%[-\\w]+/,\n alias: 'selector'\n },\n statement: {\n pattern: /\\B!(?:default|optional)\\b/i,\n alias: 'keyword'\n },\n boolean: /\\b(?:false|true)\\b/,\n null: {\n pattern: /\\bnull\\b/,\n alias: 'keyword'\n },\n operator: {\n pattern: /(\\s)(?:[-+*\\/%]|[=!]=|<=?|>=?|and|not|or)(?=\\s)/,\n lookbehind: true\n }\n })\n Prism.languages.scss['atrule'].inside.rest = Prism.languages.scss\n}\n","// @ts-nocheck\nsql.displayName = 'sql'\nsql.aliases = []\n\n/** @type {import('../core.js').Syntax} */\nexport default function sql(Prism) {\n Prism.languages.sql = {\n comment: {\n pattern: /(^|[^\\\\])(?:\\/\\*[\\s\\S]*?\\*\\/|(?:--|\\/\\/|#).*)/,\n lookbehind: true\n },\n variable: [\n {\n pattern: /@([\"'`])(?:\\\\[\\s\\S]|(?!\\1)[^\\\\])+\\1/,\n greedy: true\n },\n /@[\\w.$]+/\n ],\n string: {\n pattern: /(^|[^@\\\\])(\"|')(?:\\\\[\\s\\S]|(?!\\2)[^\\\\]|\\2\\2)*\\2/,\n greedy: true,\n lookbehind: true\n },\n identifier: {\n pattern: /(^|[^@\\\\])`(?:\\\\[\\s\\S]|[^`\\\\]|``)*`/,\n greedy: true,\n lookbehind: true,\n inside: {\n punctuation: /^`|`$/\n }\n },\n function:\n /\\b(?:AVG|COUNT|FIRST|FORMAT|LAST|LCASE|LEN|MAX|MID|MIN|MOD|NOW|ROUND|SUM|UCASE)(?=\\s*\\()/i,\n // Should we highlight user defined functions too?\n keyword:\n /\\b(?:ACTION|ADD|AFTER|ALGORITHM|ALL|ALTER|ANALYZE|ANY|APPLY|AS|ASC|AUTHORIZATION|AUTO_INCREMENT|BACKUP|BDB|BEGIN|BERKELEYDB|BIGINT|BINARY|BIT|BLOB|BOOL|BOOLEAN|BREAK|BROWSE|BTREE|BULK|BY|CALL|CASCADED?|CASE|CHAIN|CHAR(?:ACTER|SET)?|CHECK(?:POINT)?|CLOSE|CLUSTERED|COALESCE|COLLATE|COLUMNS?|COMMENT|COMMIT(?:TED)?|COMPUTE|CONNECT|CONSISTENT|CONSTRAINT|CONTAINS(?:TABLE)?|CONTINUE|CONVERT|CREATE|CROSS|CURRENT(?:_DATE|_TIME|_TIMESTAMP|_USER)?|CURSOR|CYCLE|DATA(?:BASES?)?|DATE(?:TIME)?|DAY|DBCC|DEALLOCATE|DEC|DECIMAL|DECLARE|DEFAULT|DEFINER|DELAYED|DELETE|DELIMITERS?|DENY|DESC|DESCRIBE|DETERMINISTIC|DISABLE|DISCARD|DISK|DISTINCT|DISTINCTROW|DISTRIBUTED|DO|DOUBLE|DROP|DUMMY|DUMP(?:FILE)?|DUPLICATE|ELSE(?:IF)?|ENABLE|ENCLOSED|END|ENGINE|ENUM|ERRLVL|ERRORS|ESCAPED?|EXCEPT|EXEC(?:UTE)?|EXISTS|EXIT|EXPLAIN|EXTENDED|FETCH|FIELDS|FILE|FILLFACTOR|FIRST|FIXED|FLOAT|FOLLOWING|FOR(?: EACH ROW)?|FORCE|FOREIGN|FREETEXT(?:TABLE)?|FROM|FULL|FUNCTION|GEOMETRY(?:COLLECTION)?|GLOBAL|GOTO|GRANT|GROUP|HANDLER|HASH|HAVING|HOLDLOCK|HOUR|IDENTITY(?:COL|_INSERT)?|IF|IGNORE|IMPORT|INDEX|INFILE|INNER|INNODB|INOUT|INSERT|INT|INTEGER|INTERSECT|INTERVAL|INTO|INVOKER|ISOLATION|ITERATE|JOIN|KEYS?|KILL|LANGUAGE|LAST|LEAVE|LEFT|LEVEL|LIMIT|LINENO|LINES|LINESTRING|LOAD|LOCAL|LOCK|LONG(?:BLOB|TEXT)|LOOP|MATCH(?:ED)?|MEDIUM(?:BLOB|INT|TEXT)|MERGE|MIDDLEINT|MINUTE|MODE|MODIFIES|MODIFY|MONTH|MULTI(?:LINESTRING|POINT|POLYGON)|NATIONAL|NATURAL|NCHAR|NEXT|NO|NONCLUSTERED|NULLIF|NUMERIC|OFF?|OFFSETS?|ON|OPEN(?:DATASOURCE|QUERY|ROWSET)?|OPTIMIZE|OPTION(?:ALLY)?|ORDER|OUT(?:ER|FILE)?|OVER|PARTIAL|PARTITION|PERCENT|PIVOT|PLAN|POINT|POLYGON|PRECEDING|PRECISION|PREPARE|PREV|PRIMARY|PRINT|PRIVILEGES|PROC(?:EDURE)?|PUBLIC|PURGE|QUICK|RAISERROR|READS?|REAL|RECONFIGURE|REFERENCES|RELEASE|RENAME|REPEAT(?:ABLE)?|REPLACE|REPLICATION|REQUIRE|RESIGNAL|RESTORE|RESTRICT|RETURN(?:ING|S)?|REVOKE|RIGHT|ROLLBACK|ROUTINE|ROW(?:COUNT|GUIDCOL|S)?|RTREE|RULE|SAVE(?:POINT)?|SCHEMA|SECOND|SELECT|SERIAL(?:IZABLE)?|SESSION(?:_USER)?|SET(?:USER)?|SHARE|SHOW|SHUTDOWN|SIMPLE|SMALLINT|SNAPSHOT|SOME|SONAME|SQL|START(?:ING)?|STATISTICS|STATUS|STRIPED|SYSTEM_USER|TABLES?|TABLESPACE|TEMP(?:ORARY|TABLE)?|TERMINATED|TEXT(?:SIZE)?|THEN|TIME(?:STAMP)?|TINY(?:BLOB|INT|TEXT)|TOP?|TRAN(?:SACTIONS?)?|TRIGGER|TRUNCATE|TSEQUAL|TYPES?|UNBOUNDED|UNCOMMITTED|UNDEFINED|UNION|UNIQUE|UNLOCK|UNPIVOT|UNSIGNED|UPDATE(?:TEXT)?|USAGE|USE|USER|USING|VALUES?|VAR(?:BINARY|CHAR|CHARACTER|YING)|VIEW|WAITFOR|WARNINGS|WHEN|WHERE|WHILE|WITH(?: ROLLUP|IN)?|WORK|WRITE(?:TEXT)?|YEAR)\\b/i,\n boolean: /\\b(?:FALSE|NULL|TRUE)\\b/i,\n number: /\\b0x[\\da-f]+\\b|\\b\\d+(?:\\.\\d*)?|\\B\\.\\d+\\b/i,\n operator:\n /[-+*\\/=%^~]|&&?|\\|\\|?|!=?|<(?:=>?|<|>)?|>[>=]?|\\b(?:AND|BETWEEN|DIV|ILIKE|IN|IS|LIKE|NOT|OR|REGEXP|RLIKE|SOUNDS LIKE|XOR)\\b/i,\n punctuation: /[;[\\]()`,.]/\n }\n}\n","// @ts-nocheck\nswift.displayName = 'swift'\nswift.aliases = []\n\n/** @type {import('../core.js').Syntax} */\nexport default function swift(Prism) {\n Prism.languages.swift = {\n comment: {\n // Nested comments are supported up to 2 levels\n pattern:\n /(^|[^\\\\:])(?:\\/\\/.*|\\/\\*(?:[^/*]|\\/(?!\\*)|\\*(?!\\/)|\\/\\*(?:[^*]|\\*(?!\\/))*\\*\\/)*\\*\\/)/,\n lookbehind: true,\n greedy: true\n },\n 'string-literal': [\n // https://docs.swift.org/swift-book/LanguageGuide/StringsAndCharacters.html\n {\n pattern: RegExp(\n /(^|[^\"#])/.source +\n '(?:' +\n // single-line string\n /\"(?:\\\\(?:\\((?:[^()]|\\([^()]*\\))*\\)|\\r\\n|[^(])|[^\\\\\\r\\n\"])*\"/\n .source +\n '|' +\n // multi-line string\n /\"\"\"(?:\\\\(?:\\((?:[^()]|\\([^()]*\\))*\\)|[^(])|[^\\\\\"]|\"(?!\"\"))*\"\"\"/\n .source +\n ')' +\n /(?![\"#])/.source\n ),\n lookbehind: true,\n greedy: true,\n inside: {\n interpolation: {\n pattern: /(\\\\\\()(?:[^()]|\\([^()]*\\))*(?=\\))/,\n lookbehind: true,\n inside: null // see below\n },\n\n 'interpolation-punctuation': {\n pattern: /^\\)|\\\\\\($/,\n alias: 'punctuation'\n },\n punctuation: /\\\\(?=[\\r\\n])/,\n string: /[\\s\\S]+/\n }\n },\n {\n pattern: RegExp(\n /(^|[^\"#])(#+)/.source +\n '(?:' +\n // single-line string\n /\"(?:\\\\(?:#+\\((?:[^()]|\\([^()]*\\))*\\)|\\r\\n|[^#])|[^\\\\\\r\\n])*?\"/\n .source +\n '|' +\n // multi-line string\n /\"\"\"(?:\\\\(?:#+\\((?:[^()]|\\([^()]*\\))*\\)|[^#])|[^\\\\])*?\"\"\"/.source +\n ')' +\n '\\\\2'\n ),\n lookbehind: true,\n greedy: true,\n inside: {\n interpolation: {\n pattern: /(\\\\#+\\()(?:[^()]|\\([^()]*\\))*(?=\\))/,\n lookbehind: true,\n inside: null // see below\n },\n\n 'interpolation-punctuation': {\n pattern: /^\\)|\\\\#+\\($/,\n alias: 'punctuation'\n },\n string: /[\\s\\S]+/\n }\n }\n ],\n directive: {\n // directives with conditions\n pattern: RegExp(\n /#/.source +\n '(?:' +\n (/(?:elseif|if)\\b/.source +\n '(?:[ \\t]*' +\n // This regex is a little complex. It's equivalent to this:\n // (?:![ \\t]*)?(?:\\b\\w+\\b(?:[ \\t]*<round>)?|<round>)(?:[ \\t]*(?:&&|\\|\\|))?\n // where <round> is a general parentheses expression.\n /(?:![ \\t]*)?(?:\\b\\w+\\b(?:[ \\t]*\\((?:[^()]|\\([^()]*\\))*\\))?|\\((?:[^()]|\\([^()]*\\))*\\))(?:[ \\t]*(?:&&|\\|\\|))?/\n .source +\n ')+') +\n '|' +\n /(?:else|endif)\\b/.source +\n ')'\n ),\n alias: 'property',\n inside: {\n 'directive-name': /^#\\w+/,\n boolean: /\\b(?:false|true)\\b/,\n number: /\\b\\d+(?:\\.\\d+)*\\b/,\n operator: /!|&&|\\|\\||[<>]=?/,\n punctuation: /[(),]/\n }\n },\n literal: {\n pattern:\n /#(?:colorLiteral|column|dsohandle|file(?:ID|Literal|Path)?|function|imageLiteral|line)\\b/,\n alias: 'constant'\n },\n 'other-directive': {\n pattern: /#\\w+\\b/,\n alias: 'property'\n },\n attribute: {\n pattern: /@\\w+/,\n alias: 'atrule'\n },\n 'function-definition': {\n pattern: /(\\bfunc\\s+)\\w+/,\n lookbehind: true,\n alias: 'function'\n },\n label: {\n // https://docs.swift.org/swift-book/LanguageGuide/ControlFlow.html#ID141\n pattern:\n /\\b(break|continue)\\s+\\w+|\\b[a-zA-Z_]\\w*(?=\\s*:\\s*(?:for|repeat|while)\\b)/,\n lookbehind: true,\n alias: 'important'\n },\n keyword:\n /\\b(?:Any|Protocol|Self|Type|actor|as|assignment|associatedtype|associativity|async|await|break|case|catch|class|continue|convenience|default|defer|deinit|didSet|do|dynamic|else|enum|extension|fallthrough|fileprivate|final|for|func|get|guard|higherThan|if|import|in|indirect|infix|init|inout|internal|is|isolated|lazy|left|let|lowerThan|mutating|none|nonisolated|nonmutating|open|operator|optional|override|postfix|precedencegroup|prefix|private|protocol|public|repeat|required|rethrows|return|right|safe|self|set|some|static|struct|subscript|super|switch|throw|throws|try|typealias|unowned|unsafe|var|weak|where|while|willSet)\\b/,\n boolean: /\\b(?:false|true)\\b/,\n nil: {\n pattern: /\\bnil\\b/,\n alias: 'constant'\n },\n 'short-argument': /\\$\\d+\\b/,\n omit: {\n pattern: /\\b_\\b/,\n alias: 'keyword'\n },\n number:\n /\\b(?:[\\d_]+(?:\\.[\\de_]+)?|0x[a-f0-9_]+(?:\\.[a-f0-9p_]+)?|0b[01_]+|0o[0-7_]+)\\b/i,\n // A class name must start with an upper-case letter and be either 1 letter long or contain a lower-case letter.\n 'class-name': /\\b[A-Z](?:[A-Z_\\d]*[a-z]\\w*)?\\b/,\n function: /\\b[a-z_]\\w*(?=\\s*\\()/i,\n constant: /\\b(?:[A-Z_]{2,}|k[A-Z][A-Za-z_]+)\\b/,\n // Operators are generic in Swift. Developers can even create new operators (e.g. +++).\n // https://docs.swift.org/swift-book/ReferenceManual/zzSummaryOfTheGrammar.html#ID481\n // This regex only supports ASCII operators.\n operator: /[-+*/%=!<>&|^~?]+|\\.[.\\-+*/%=!<>&|^~?]+/,\n punctuation: /[{}[\\]();,.:\\\\]/\n }\n Prism.languages.swift['string-literal'].forEach(function (rule) {\n rule.inside['interpolation'].inside = Prism.languages.swift\n })\n}\n","// @ts-nocheck\nimport refractorJavascript from './javascript.js'\ntypescript.displayName = 'typescript'\ntypescript.aliases = ['ts']\n\n/** @type {import('../core.js').Syntax} */\nexport default function typescript(Prism) {\n Prism.register(refractorJavascript)\n ;(function (Prism) {\n Prism.languages.typescript = Prism.languages.extend('javascript', {\n 'class-name': {\n pattern:\n /(\\b(?:class|extends|implements|instanceof|interface|new|type)\\s+)(?!keyof\\b)(?!\\s)[_$a-zA-Z\\xA0-\\uFFFF](?:(?!\\s)[$\\w\\xA0-\\uFFFF])*(?:\\s*<(?:[^<>]|<(?:[^<>]|<[^<>]*>)*>)*>)?/,\n lookbehind: true,\n greedy: true,\n inside: null // see below\n },\n\n builtin:\n /\\b(?:Array|Function|Promise|any|boolean|console|never|number|string|symbol|unknown)\\b/\n })\n\n // The keywords TypeScript adds to JavaScript\n Prism.languages.typescript.keyword.push(\n /\\b(?:abstract|declare|is|keyof|readonly|require)\\b/,\n // keywords that have to be followed by an identifier\n /\\b(?:asserts|infer|interface|module|namespace|type)\\b(?=\\s*(?:[{_$a-zA-Z\\xA0-\\uFFFF]|$))/,\n // This is for `import type *, {}`\n /\\btype\\b(?=\\s*(?:[\\{*]|$))/\n )\n\n // doesn't work with TS because TS is too complex\n delete Prism.languages.typescript['parameter']\n delete Prism.languages.typescript['literal-property']\n\n // a version of typescript specifically for highlighting types\n var typeInside = Prism.languages.extend('typescript', {})\n delete typeInside['class-name']\n Prism.languages.typescript['class-name'].inside = typeInside\n Prism.languages.insertBefore('typescript', 'function', {\n decorator: {\n pattern: /@[$\\w\\xA0-\\uFFFF]+/,\n inside: {\n at: {\n pattern: /^@/,\n alias: 'operator'\n },\n function: /^[\\s\\S]+/\n }\n },\n 'generic-function': {\n // e.g. foo<T extends \"bar\" | \"baz\">( ...\n pattern:\n /#?(?!\\s)[_$a-zA-Z\\xA0-\\uFFFF](?:(?!\\s)[$\\w\\xA0-\\uFFFF])*\\s*<(?:[^<>]|<(?:[^<>]|<[^<>]*>)*>)*>(?=\\s*\\()/,\n greedy: true,\n inside: {\n function: /^#?(?!\\s)[_$a-zA-Z\\xA0-\\uFFFF](?:(?!\\s)[$\\w\\xA0-\\uFFFF])*/,\n generic: {\n pattern: /<[\\s\\S]+/,\n // everything after the first <\n alias: 'class-name',\n inside: typeInside\n }\n }\n }\n })\n Prism.languages.ts = Prism.languages.typescript\n })(Prism)\n}\n","// @ts-nocheck\nimport refractorBasic from './basic.js'\nvbnet.displayName = 'vbnet'\nvbnet.aliases = []\n\n/** @type {import('../core.js').Syntax} */\nexport default function vbnet(Prism) {\n Prism.register(refractorBasic)\n Prism.languages.vbnet = Prism.languages.extend('basic', {\n comment: [\n {\n pattern: /(?:!|REM\\b).+/i,\n inside: {\n keyword: /^REM/i\n }\n },\n {\n pattern: /(^|[^\\\\:])'.*/,\n lookbehind: true,\n greedy: true\n }\n ],\n string: {\n pattern: /(^|[^\"])\"(?:\"\"|[^\"])*\"(?!\")/,\n lookbehind: true,\n greedy: true\n },\n keyword:\n /(?:\\b(?:ADDHANDLER|ADDRESSOF|ALIAS|AND|ANDALSO|AS|BEEP|BLOAD|BOOLEAN|BSAVE|BYREF|BYTE|BYVAL|CALL(?: ABSOLUTE)?|CASE|CATCH|CBOOL|CBYTE|CCHAR|CDATE|CDBL|CDEC|CHAIN|CHAR|CHDIR|CINT|CLASS|CLEAR|CLNG|CLOSE|CLS|COBJ|COM|COMMON|CONST|CONTINUE|CSBYTE|CSHORT|CSNG|CSTR|CTYPE|CUINT|CULNG|CUSHORT|DATA|DATE|DECIMAL|DECLARE|DEF(?: FN| SEG|DBL|INT|LNG|SNG|STR)|DEFAULT|DELEGATE|DIM|DIRECTCAST|DO|DOUBLE|ELSE|ELSEIF|END|ENUM|ENVIRON|ERASE|ERROR|EVENT|EXIT|FALSE|FIELD|FILES|FINALLY|FOR(?: EACH)?|FRIEND|FUNCTION|GET|GETTYPE|GETXMLNAMESPACE|GLOBAL|GOSUB|GOTO|HANDLES|IF|IMPLEMENTS|IMPORTS|IN|INHERITS|INPUT|INTEGER|INTERFACE|IOCTL|IS|ISNOT|KEY|KILL|LET|LIB|LIKE|LINE INPUT|LOCATE|LOCK|LONG|LOOP|LSET|ME|MKDIR|MOD|MODULE|MUSTINHERIT|MUSTOVERRIDE|MYBASE|MYCLASS|NAME|NAMESPACE|NARROWING|NEW|NEXT|NOT|NOTHING|NOTINHERITABLE|NOTOVERRIDABLE|OBJECT|OF|OFF|ON(?: COM| ERROR| KEY| TIMER)?|OPEN|OPERATOR|OPTION(?: BASE)?|OPTIONAL|OR|ORELSE|OUT|OVERLOADS|OVERRIDABLE|OVERRIDES|PARAMARRAY|PARTIAL|POKE|PRIVATE|PROPERTY|PROTECTED|PUBLIC|PUT|RAISEEVENT|READ|READONLY|REDIM|REM|REMOVEHANDLER|RESTORE|RESUME|RETURN|RMDIR|RSET|RUN|SBYTE|SELECT(?: CASE)?|SET|SHADOWS|SHARED|SHELL|SHORT|SINGLE|SLEEP|STATIC|STEP|STOP|STRING|STRUCTURE|SUB|SWAP|SYNCLOCK|SYSTEM|THEN|THROW|TIMER|TO|TROFF|TRON|TRUE|TRY|TRYCAST|TYPE|TYPEOF|UINTEGER|ULONG|UNLOCK|UNTIL|USHORT|USING|VIEW PRINT|WAIT|WEND|WHEN|WHILE|WIDENING|WITH|WITHEVENTS|WRITE|WRITEONLY|XOR)|\\B(?:#CONST|#ELSE|#ELSEIF|#END|#IF))(?:\\$|\\b)/i,\n punctuation: /[,;:(){}]/\n })\n}\n","// @ts-nocheck\nyaml.displayName = 'yaml'\nyaml.aliases = ['yml']\n\n/** @type {import('../core.js').Syntax} */\nexport default function yaml(Prism) {\n ;(function (Prism) {\n // https://yaml.org/spec/1.2/spec.html#c-ns-anchor-property\n // https://yaml.org/spec/1.2/spec.html#c-ns-alias-node\n var anchorOrAlias = /[*&][^\\s[\\]{},]+/\n // https://yaml.org/spec/1.2/spec.html#c-ns-tag-property\n var tag =\n /!(?:<[\\w\\-%#;/?:@&=+$,.!~*'()[\\]]+>|(?:[a-zA-Z\\d-]*!)?[\\w\\-%#;/?:@&=+$.~*'()]+)?/\n // https://yaml.org/spec/1.2/spec.html#c-ns-properties(n,c)\n var properties =\n '(?:' +\n tag.source +\n '(?:[ \\t]+' +\n anchorOrAlias.source +\n ')?|' +\n anchorOrAlias.source +\n '(?:[ \\t]+' +\n tag.source +\n ')?)'\n // https://yaml.org/spec/1.2/spec.html#ns-plain(n,c)\n // This is a simplified version that doesn't support \"#\" and multiline keys\n // All these long scarry character classes are simplified versions of YAML's characters\n var plainKey =\n /(?:[^\\s\\x00-\\x08\\x0e-\\x1f!\"#%&'*,\\-:>?@[\\]`{|}\\x7f-\\x84\\x86-\\x9f\\ud800-\\udfff\\ufffe\\uffff]|[?:-]<PLAIN>)(?:[ \\t]*(?:(?![#:])<PLAIN>|:<PLAIN>))*/.source.replace(\n /<PLAIN>/g,\n function () {\n return /[^\\s\\x00-\\x08\\x0e-\\x1f,[\\]{}\\x7f-\\x84\\x86-\\x9f\\ud800-\\udfff\\ufffe\\uffff]/\n .source\n }\n )\n var string = /\"(?:[^\"\\\\\\r\\n]|\\\\.)*\"|'(?:[^'\\\\\\r\\n]|\\\\.)*'/.source\n\n /**\n *\n * @param {string} value\n * @param {string} [flags]\n * @returns {RegExp}\n */\n function createValuePattern(value, flags) {\n flags = (flags || '').replace(/m/g, '') + 'm' // add m flag\n var pattern =\n /([:\\-,[{]\\s*(?:\\s<<prop>>[ \\t]+)?)(?:<<value>>)(?=[ \\t]*(?:$|,|\\]|\\}|(?:[\\r\\n]\\s*)?#))/.source\n .replace(/<<prop>>/g, function () {\n return properties\n })\n .replace(/<<value>>/g, function () {\n return value\n })\n return RegExp(pattern, flags)\n }\n Prism.languages.yaml = {\n scalar: {\n pattern: RegExp(\n /([\\-:]\\s*(?:\\s<<prop>>[ \\t]+)?[|>])[ \\t]*(?:((?:\\r?\\n|\\r)[ \\t]+)\\S[^\\r\\n]*(?:\\2[^\\r\\n]+)*)/.source.replace(\n /<<prop>>/g,\n function () {\n return properties\n }\n )\n ),\n lookbehind: true,\n alias: 'string'\n },\n comment: /#.*/,\n key: {\n pattern: RegExp(\n /((?:^|[:\\-,[{\\r\\n?])[ \\t]*(?:<<prop>>[ \\t]+)?)<<key>>(?=\\s*:\\s)/.source\n .replace(/<<prop>>/g, function () {\n return properties\n })\n .replace(/<<key>>/g, function () {\n return '(?:' + plainKey + '|' + string + ')'\n })\n ),\n lookbehind: true,\n greedy: true,\n alias: 'atrule'\n },\n directive: {\n pattern: /(^[ \\t]*)%.+/m,\n lookbehind: true,\n alias: 'important'\n },\n datetime: {\n pattern: createValuePattern(\n /\\d{4}-\\d\\d?-\\d\\d?(?:[tT]|[ \\t]+)\\d\\d?:\\d{2}:\\d{2}(?:\\.\\d*)?(?:[ \\t]*(?:Z|[-+]\\d\\d?(?::\\d{2})?))?|\\d{4}-\\d{2}-\\d{2}|\\d\\d?:\\d{2}(?::\\d{2}(?:\\.\\d*)?)?/\n .source\n ),\n lookbehind: true,\n alias: 'number'\n },\n boolean: {\n pattern: createValuePattern(/false|true/.source, 'i'),\n lookbehind: true,\n alias: 'important'\n },\n null: {\n pattern: createValuePattern(/null|~/.source, 'i'),\n lookbehind: true,\n alias: 'important'\n },\n string: {\n pattern: createValuePattern(string),\n lookbehind: true,\n greedy: true\n },\n number: {\n pattern: createValuePattern(\n /[+-]?(?:0x[\\da-f]+|0o[0-7]+|(?:\\d+(?:\\.\\d*)?|\\.\\d+)(?:e[+-]?\\d+)?|\\.inf|\\.nan)/\n .source,\n 'i'\n ),\n lookbehind: true\n },\n tag: tag,\n important: anchorOrAlias,\n punctuation: /---|[:[\\]{}\\-,|>?]|\\.\\.\\./\n }\n Prism.languages.yml = Prism.languages.yaml\n })(Prism)\n}\n","// @ts-nocheck\nimport refractorMarkup from './markup.js'\ntextile.displayName = 'textile'\ntextile.aliases = []\n\n/** @type {import('../core.js').Syntax} */\nexport default function textile(Prism) {\n Prism.register(refractorMarkup)\n ;(function (Prism) {\n // We don't allow for pipes inside parentheses\n // to not break table pattern |(. foo |). bar |\n var modifierRegex = /\\([^|()\\n]+\\)|\\[[^\\]\\n]+\\]|\\{[^}\\n]+\\}/.source\n // Opening and closing parentheses which are not a modifier\n // This pattern is necessary to prevent exponential backtracking\n var parenthesesRegex = /\\)|\\((?![^|()\\n]+\\))/.source\n /**\n * @param {string} source\n * @param {string} [flags]\n */\n function withModifier(source, flags) {\n return RegExp(\n source\n .replace(/<MOD>/g, function () {\n return '(?:' + modifierRegex + ')'\n })\n .replace(/<PAR>/g, function () {\n return '(?:' + parenthesesRegex + ')'\n }),\n flags || ''\n )\n }\n var modifierTokens = {\n css: {\n pattern: /\\{[^{}]+\\}/,\n inside: {\n rest: Prism.languages.css\n }\n },\n 'class-id': {\n pattern: /(\\()[^()]+(?=\\))/,\n lookbehind: true,\n alias: 'attr-value'\n },\n lang: {\n pattern: /(\\[)[^\\[\\]]+(?=\\])/,\n lookbehind: true,\n alias: 'attr-value'\n },\n // Anything else is punctuation (the first pattern is for row/col spans inside tables)\n punctuation: /[\\\\\\/]\\d+|\\S/\n }\n var textile = (Prism.languages.textile = Prism.languages.extend('markup', {\n phrase: {\n pattern: /(^|\\r|\\n)\\S[\\s\\S]*?(?=$|\\r?\\n\\r?\\n|\\r\\r)/,\n lookbehind: true,\n inside: {\n // h1. Header 1\n 'block-tag': {\n pattern: withModifier(/^[a-z]\\w*(?:<MOD>|<PAR>|[<>=])*\\./.source),\n inside: {\n modifier: {\n pattern: withModifier(\n /(^[a-z]\\w*)(?:<MOD>|<PAR>|[<>=])+(?=\\.)/.source\n ),\n lookbehind: true,\n inside: modifierTokens\n },\n tag: /^[a-z]\\w*/,\n punctuation: /\\.$/\n }\n },\n // # List item\n // * List item\n list: {\n pattern: withModifier(/^[*#]+<MOD>*\\s+\\S.*/.source, 'm'),\n inside: {\n modifier: {\n pattern: withModifier(/(^[*#]+)<MOD>+/.source),\n lookbehind: true,\n inside: modifierTokens\n },\n punctuation: /^[*#]+/\n }\n },\n // | cell | cell | cell |\n table: {\n // Modifiers can be applied to the row: {color:red}.|1|2|3|\n // or the cell: |{color:red}.1|2|3|\n pattern: withModifier(\n /^(?:(?:<MOD>|<PAR>|[<>=^~])+\\.\\s*)?(?:\\|(?:(?:<MOD>|<PAR>|[<>=^~_]|[\\\\/]\\d+)+\\.|(?!(?:<MOD>|<PAR>|[<>=^~_]|[\\\\/]\\d+)+\\.))[^|]*)+\\|/\n .source,\n 'm'\n ),\n inside: {\n modifier: {\n // Modifiers for rows after the first one are\n // preceded by a pipe and a line feed\n pattern: withModifier(\n /(^|\\|(?:\\r?\\n|\\r)?)(?:<MOD>|<PAR>|[<>=^~_]|[\\\\/]\\d+)+(?=\\.)/\n .source\n ),\n lookbehind: true,\n inside: modifierTokens\n },\n punctuation: /\\||^\\./\n }\n },\n inline: {\n // eslint-disable-next-line regexp/no-super-linear-backtracking\n pattern: withModifier(\n /(^|[^a-zA-Z\\d])(\\*\\*|__|\\?\\?|[*_%@+\\-^~])<MOD>*.+?\\2(?![a-zA-Z\\d])/\n .source\n ),\n lookbehind: true,\n inside: {\n // Note: superscripts and subscripts are not handled specifically\n\n // *bold*, **bold**\n bold: {\n // eslint-disable-next-line regexp/no-super-linear-backtracking\n pattern: withModifier(/(^(\\*\\*?)<MOD>*).+?(?=\\2)/.source),\n lookbehind: true\n },\n // _italic_, __italic__\n italic: {\n // eslint-disable-next-line regexp/no-super-linear-backtracking\n pattern: withModifier(/(^(__?)<MOD>*).+?(?=\\2)/.source),\n lookbehind: true\n },\n // ??cite??\n cite: {\n // eslint-disable-next-line regexp/no-super-linear-backtracking\n pattern: withModifier(/(^\\?\\?<MOD>*).+?(?=\\?\\?)/.source),\n lookbehind: true,\n alias: 'string'\n },\n // @code@\n code: {\n // eslint-disable-next-line regexp/no-super-linear-backtracking\n pattern: withModifier(/(^@<MOD>*).+?(?=@)/.source),\n lookbehind: true,\n alias: 'keyword'\n },\n // +inserted+\n inserted: {\n // eslint-disable-next-line regexp/no-super-linear-backtracking\n pattern: withModifier(/(^\\+<MOD>*).+?(?=\\+)/.source),\n lookbehind: true\n },\n // -deleted-\n deleted: {\n // eslint-disable-next-line regexp/no-super-linear-backtracking\n pattern: withModifier(/(^-<MOD>*).+?(?=-)/.source),\n lookbehind: true\n },\n // %span%\n span: {\n // eslint-disable-next-line regexp/no-super-linear-backtracking\n pattern: withModifier(/(^%<MOD>*).+?(?=%)/.source),\n lookbehind: true\n },\n modifier: {\n pattern: withModifier(\n /(^\\*\\*|__|\\?\\?|[*_%@+\\-^~])<MOD>+/.source\n ),\n lookbehind: true,\n inside: modifierTokens\n },\n punctuation: /[*_%?@+\\-^~]+/\n }\n },\n // [alias]http://example.com\n 'link-ref': {\n pattern: /^\\[[^\\]]+\\]\\S+$/m,\n inside: {\n string: {\n pattern: /(^\\[)[^\\]]+(?=\\])/,\n lookbehind: true\n },\n url: {\n pattern: /(^\\])\\S+$/,\n lookbehind: true\n },\n punctuation: /[\\[\\]]/\n }\n },\n // \"text\":http://example.com\n // \"text\":link-ref\n link: {\n // eslint-disable-next-line regexp/no-super-linear-backtracking\n pattern: withModifier(\n /\"<MOD>*[^\"]+\":.+?(?=[^\\w/]?(?:\\s|$))/.source\n ),\n inside: {\n text: {\n // eslint-disable-next-line regexp/no-super-linear-backtracking\n pattern: withModifier(/(^\"<MOD>*)[^\"]+(?=\")/.source),\n lookbehind: true\n },\n modifier: {\n pattern: withModifier(/(^\")<MOD>+/.source),\n lookbehind: true,\n inside: modifierTokens\n },\n url: {\n pattern: /(:).+/,\n lookbehind: true\n },\n punctuation: /[\":]/\n }\n },\n // !image.jpg!\n // !image.jpg(Title)!:http://example.com\n image: {\n pattern: withModifier(\n /!(?:<MOD>|<PAR>|[<>=])*(?![<>=])[^!\\s()]+(?:\\([^)]+\\))?!(?::.+?(?=[^\\w/]?(?:\\s|$)))?/\n .source\n ),\n inside: {\n source: {\n pattern: withModifier(\n /(^!(?:<MOD>|<PAR>|[<>=])*)(?![<>=])[^!\\s()]+(?:\\([^)]+\\))?(?=!)/\n .source\n ),\n lookbehind: true,\n alias: 'url'\n },\n modifier: {\n pattern: withModifier(/(^!)(?:<MOD>|<PAR>|[<>=])+/.source),\n lookbehind: true,\n inside: modifierTokens\n },\n url: {\n pattern: /(:).+/,\n lookbehind: true\n },\n punctuation: /[!:]/\n }\n },\n // Footnote[1]\n footnote: {\n pattern: /\\b\\[\\d+\\]/,\n alias: 'comment',\n inside: {\n punctuation: /\\[|\\]/\n }\n },\n // CSS(Cascading Style Sheet)\n acronym: {\n pattern: /\\b[A-Z\\d]+\\([^)]+\\)/,\n inside: {\n comment: {\n pattern: /(\\()[^()]+(?=\\))/,\n lookbehind: true\n },\n punctuation: /[()]/\n }\n },\n // Prism(C)\n mark: {\n pattern: /\\b\\((?:C|R|TM)\\)/,\n alias: 'comment',\n inside: {\n punctuation: /[()]/\n }\n }\n }\n }\n }))\n var phraseInside = textile['phrase'].inside\n var nestedPatterns = {\n inline: phraseInside['inline'],\n link: phraseInside['link'],\n image: phraseInside['image'],\n footnote: phraseInside['footnote'],\n acronym: phraseInside['acronym'],\n mark: phraseInside['mark']\n }\n\n // Only allow alpha-numeric HTML tags, not XML tags\n textile.tag.pattern =\n /<\\/?(?!\\d)[a-z0-9]+(?:\\s+[^\\s>\\/=]+(?:=(?:(\"|')(?:\\\\[\\s\\S]|(?!\\1)[^\\\\])*\\1|[^\\s'\">=]+))?)*\\s*\\/?>/i\n\n // Allow some nesting\n var phraseInlineInside = phraseInside['inline'].inside\n phraseInlineInside['bold'].inside = nestedPatterns\n phraseInlineInside['italic'].inside = nestedPatterns\n phraseInlineInside['inserted'].inside = nestedPatterns\n phraseInlineInside['deleted'].inside = nestedPatterns\n phraseInlineInside['span'].inside = nestedPatterns\n\n // Allow some styles inside table cells\n var phraseTableInside = phraseInside['table'].inside\n phraseTableInside['inline'] = nestedPatterns['inline']\n phraseTableInside['link'] = nestedPatterns['link']\n phraseTableInside['image'] = nestedPatterns['image']\n phraseTableInside['footnote'] = nestedPatterns['footnote']\n phraseTableInside['acronym'] = nestedPatterns['acronym']\n phraseTableInside['mark'] = nestedPatterns['mark']\n })(Prism)\n}\n","// @ts-nocheck\nuri.displayName = 'uri'\nuri.aliases = ['url']\n\n/** @type {import('../core.js').Syntax} */\nexport default function uri(Prism) {\n // https://tools.ietf.org/html/rfc3986#appendix-A\n\n Prism.languages.uri = {\n scheme: {\n pattern: /^[a-z][a-z0-9+.-]*:/im,\n greedy: true,\n inside: {\n 'scheme-delimiter': /:$/\n }\n },\n fragment: {\n pattern: /#[\\w\\-.~!$&'()*+,;=%:@/?]*/,\n inside: {\n 'fragment-delimiter': /^#/\n }\n },\n query: {\n pattern: /\\?[\\w\\-.~!$&'()*+,;=%:@/?]*/,\n inside: {\n 'query-delimiter': {\n pattern: /^\\?/,\n greedy: true\n },\n 'pair-delimiter': /[&;]/,\n pair: {\n pattern: /^[^=][\\s\\S]*/,\n inside: {\n key: /^[^=]+/,\n value: {\n pattern: /(^=)[\\s\\S]+/,\n lookbehind: true\n }\n }\n }\n }\n },\n authority: {\n pattern: RegExp(\n /^\\/\\//.source +\n // [ userinfo \"@\" ]\n /(?:[\\w\\-.~!$&'()*+,;=%:]*@)?/.source +\n // host\n ('(?:' +\n // IP-literal\n /\\[(?:[0-9a-fA-F:.]{2,48}|v[0-9a-fA-F]+\\.[\\w\\-.~!$&'()*+,;=]+)\\]/\n .source +\n '|' +\n // IPv4address or registered name\n /[\\w\\-.~!$&'()*+,;=%]*/.source +\n ')') +\n // [ \":\" port ]\n /(?::\\d*)?/.source,\n 'm'\n ),\n inside: {\n 'authority-delimiter': /^\\/\\//,\n 'user-info-segment': {\n pattern: /^[\\w\\-.~!$&'()*+,;=%:]*@/,\n inside: {\n 'user-info-delimiter': /@$/,\n 'user-info': /^[\\w\\-.~!$&'()*+,;=%:]+/\n }\n },\n 'port-segment': {\n pattern: /:\\d*$/,\n inside: {\n 'port-delimiter': /^:/,\n port: /^\\d+/\n }\n },\n host: {\n pattern: /[\\s\\S]+/,\n inside: {\n 'ip-literal': {\n pattern: /^\\[[\\s\\S]+\\]$/,\n inside: {\n 'ip-literal-delimiter': /^\\[|\\]$/,\n 'ipv-future': /^v[\\s\\S]+/,\n 'ipv6-address': /^[\\s\\S]+/\n }\n },\n 'ipv4-address':\n /^(?:(?:[03-9]\\d?|[12]\\d{0,2})\\.){3}(?:[03-9]\\d?|[12]\\d{0,2})$/\n }\n }\n }\n },\n path: {\n pattern: /^[\\w\\-.~!$&'()*+,;=%:@/]+/m,\n inside: {\n 'path-separator': /\\//\n }\n }\n }\n Prism.languages.url = Prism.languages.uri\n}\n","// @ts-nocheck\nimport refractorJava from './java.js'\nscala.displayName = 'scala'\nscala.aliases = []\n\n/** @type {import('../core.js').Syntax} */\nexport default function scala(Prism) {\n Prism.register(refractorJava)\n Prism.languages.scala = Prism.languages.extend('java', {\n 'triple-quoted-string': {\n pattern: /\"\"\"[\\s\\S]*?\"\"\"/,\n greedy: true,\n alias: 'string'\n },\n string: {\n pattern: /(\"|')(?:\\\\.|(?!\\1)[^\\\\\\r\\n])*\\1/,\n greedy: true\n },\n keyword:\n /<-|=>|\\b(?:abstract|case|catch|class|def|derives|do|else|enum|extends|extension|final|finally|for|forSome|given|if|implicit|import|infix|inline|lazy|match|new|null|object|opaque|open|override|package|private|protected|return|sealed|self|super|this|throw|trait|transparent|try|type|using|val|var|while|with|yield)\\b/,\n number:\n /\\b0x(?:[\\da-f]*\\.)?[\\da-f]+|(?:\\b\\d+(?:\\.\\d*)?|\\B\\.\\d+)(?:e\\d+)?[dfl]?/i,\n builtin:\n /\\b(?:Any|AnyRef|AnyVal|Boolean|Byte|Char|Double|Float|Int|Long|Nothing|Short|String|Unit)\\b/,\n symbol: /'[^\\d\\s\\\\]\\w*/\n })\n Prism.languages.insertBefore('scala', 'triple-quoted-string', {\n 'string-interpolation': {\n pattern:\n /\\b[a-z]\\w*(?:\"\"\"(?:[^$]|\\$(?:[^{]|\\{(?:[^{}]|\\{[^{}]*\\})*\\}))*?\"\"\"|\"(?:[^$\"\\r\\n]|\\$(?:[^{]|\\{(?:[^{}]|\\{[^{}]*\\})*\\}))*\")/i,\n greedy: true,\n inside: {\n id: {\n pattern: /^\\w+/,\n greedy: true,\n alias: 'function'\n },\n escape: {\n pattern: /\\\\\\$\"|\\$[$\"]/,\n greedy: true,\n alias: 'symbol'\n },\n interpolation: {\n pattern: /\\$(?:\\w+|\\{(?:[^{}]|\\{[^{}]*\\})*\\})/,\n greedy: true,\n inside: {\n punctuation: /^\\$\\{?|\\}$/,\n expression: {\n pattern: /[\\s\\S]+/,\n inside: Prism.languages.scala\n }\n }\n },\n string: /[\\s\\S]+/\n }\n }\n })\n delete Prism.languages.scala['class-name']\n delete Prism.languages.scala['function']\n delete Prism.languages.scala['constant']\n}\n","// @ts-nocheck\nstylus.displayName = 'stylus'\nstylus.aliases = []\n\n/** @type {import('../core.js').Syntax} */\nexport default function stylus(Prism) {\n ;(function (Prism) {\n var unit = {\n pattern: /(\\b\\d+)(?:%|[a-z]+)/,\n lookbehind: true\n }\n // 123 -123 .123 -.123 12.3 -12.3\n var number = {\n pattern: /(^|[^\\w.-])-?(?:\\d+(?:\\.\\d+)?|\\.\\d+)/,\n lookbehind: true\n }\n var inside = {\n comment: {\n pattern: /(^|[^\\\\])(?:\\/\\*[\\s\\S]*?\\*\\/|\\/\\/.*)/,\n lookbehind: true\n },\n url: {\n pattern: /\\burl\\(([\"']?).*?\\1\\)/i,\n greedy: true\n },\n string: {\n pattern: /(\"|')(?:(?!\\1)[^\\\\\\r\\n]|\\\\(?:\\r\\n|[\\s\\S]))*\\1/,\n greedy: true\n },\n interpolation: null,\n // See below\n func: null,\n // See below\n important: /\\B!(?:important|optional)\\b/i,\n keyword: {\n pattern: /(^|\\s+)(?:(?:else|for|if|return|unless)(?=\\s|$)|@[\\w-]+)/,\n lookbehind: true\n },\n hexcode: /#[\\da-f]{3,6}/i,\n color: [\n /\\b(?:AliceBlue|AntiqueWhite|Aqua|Aquamarine|Azure|Beige|Bisque|Black|BlanchedAlmond|Blue|BlueViolet|Brown|BurlyWood|CadetBlue|Chartreuse|Chocolate|Coral|CornflowerBlue|Cornsilk|Crimson|Cyan|DarkBlue|DarkCyan|DarkGoldenRod|DarkGr[ae]y|DarkGreen|DarkKhaki|DarkMagenta|DarkOliveGreen|DarkOrange|DarkOrchid|DarkRed|DarkSalmon|DarkSeaGreen|DarkSlateBlue|DarkSlateGr[ae]y|DarkTurquoise|DarkViolet|DeepPink|DeepSkyBlue|DimGr[ae]y|DodgerBlue|FireBrick|FloralWhite|ForestGreen|Fuchsia|Gainsboro|GhostWhite|Gold|GoldenRod|Gr[ae]y|Green|GreenYellow|HoneyDew|HotPink|IndianRed|Indigo|Ivory|Khaki|Lavender|LavenderBlush|LawnGreen|LemonChiffon|LightBlue|LightCoral|LightCyan|LightGoldenRodYellow|LightGr[ae]y|LightGreen|LightPink|LightSalmon|LightSeaGreen|LightSkyBlue|LightSlateGr[ae]y|LightSteelBlue|LightYellow|Lime|LimeGreen|Linen|Magenta|Maroon|MediumAquaMarine|MediumBlue|MediumOrchid|MediumPurple|MediumSeaGreen|MediumSlateBlue|MediumSpringGreen|MediumTurquoise|MediumVioletRed|MidnightBlue|MintCream|MistyRose|Moccasin|NavajoWhite|Navy|OldLace|Olive|OliveDrab|Orange|OrangeRed|Orchid|PaleGoldenRod|PaleGreen|PaleTurquoise|PaleVioletRed|PapayaWhip|PeachPuff|Peru|Pink|Plum|PowderBlue|Purple|Red|RosyBrown|RoyalBlue|SaddleBrown|Salmon|SandyBrown|SeaGreen|SeaShell|Sienna|Silver|SkyBlue|SlateBlue|SlateGr[ae]y|Snow|SpringGreen|SteelBlue|Tan|Teal|Thistle|Tomato|Transparent|Turquoise|Violet|Wheat|White|WhiteSmoke|Yellow|YellowGreen)\\b/i,\n {\n pattern:\n /\\b(?:hsl|rgb)\\(\\s*\\d{1,3}\\s*,\\s*\\d{1,3}%?\\s*,\\s*\\d{1,3}%?\\s*\\)\\B|\\b(?:hsl|rgb)a\\(\\s*\\d{1,3}\\s*,\\s*\\d{1,3}%?\\s*,\\s*\\d{1,3}%?\\s*,\\s*(?:0|0?\\.\\d+|1)\\s*\\)\\B/i,\n inside: {\n unit: unit,\n number: number,\n function: /[\\w-]+(?=\\()/,\n punctuation: /[(),]/\n }\n }\n ],\n entity: /\\\\[\\da-f]{1,8}/i,\n unit: unit,\n boolean: /\\b(?:false|true)\\b/,\n operator: [\n // We want non-word chars around \"-\" because it is\n // accepted in property names.\n /~|[+!\\/%<>?=]=?|[-:]=|\\*[*=]?|\\.{2,3}|&&|\\|\\||\\B-\\B|\\b(?:and|in|is(?: a| defined| not|nt)?|not|or)\\b/\n ],\n number: number,\n punctuation: /[{}()\\[\\];:,]/\n }\n inside['interpolation'] = {\n pattern: /\\{[^\\r\\n}:]+\\}/,\n alias: 'variable',\n inside: {\n delimiter: {\n pattern: /^\\{|\\}$/,\n alias: 'punctuation'\n },\n rest: inside\n }\n }\n inside['func'] = {\n pattern: /[\\w-]+\\([^)]*\\).*/,\n inside: {\n function: /^[^(]+/,\n rest: inside\n }\n }\n Prism.languages.stylus = {\n 'atrule-declaration': {\n pattern: /(^[ \\t]*)@.+/m,\n lookbehind: true,\n inside: {\n atrule: /^@[\\w-]+/,\n rest: inside\n }\n },\n 'variable-declaration': {\n pattern: /(^[ \\t]*)[\\w$-]+\\s*.?=[ \\t]*(?:\\{[^{}]*\\}|\\S.*|$)/m,\n lookbehind: true,\n inside: {\n variable: /^\\S+/,\n rest: inside\n }\n },\n statement: {\n pattern: /(^[ \\t]*)(?:else|for|if|return|unless)[ \\t].+/m,\n lookbehind: true,\n inside: {\n keyword: /^\\S+/,\n rest: inside\n }\n },\n // A property/value pair cannot end with a comma or a brace\n // It cannot have indented content unless it ended with a semicolon\n 'property-declaration': {\n pattern:\n /((?:^|\\{)([ \\t]*))(?:[\\w-]|\\{[^}\\r\\n]+\\})+(?:\\s*:\\s*|[ \\t]+)(?!\\s)[^{\\r\\n]*(?:;|[^{\\r\\n,]$(?!(?:\\r?\\n|\\r)(?:\\{|\\2[ \\t])))/m,\n lookbehind: true,\n inside: {\n property: {\n pattern: /^[^\\s:]+/,\n inside: {\n interpolation: inside.interpolation\n }\n },\n rest: inside\n }\n },\n // A selector can contain parentheses only as part of a pseudo-element\n // It can span multiple lines.\n // It must end with a comma or an accolade or have indented content.\n selector: {\n pattern:\n /(^[ \\t]*)(?:(?=\\S)(?:[^{}\\r\\n:()]|::?[\\w-]+(?:\\([^)\\r\\n]*\\)|(?![\\w-]))|\\{[^}\\r\\n]+\\})+)(?:(?:\\r?\\n|\\r)(?:\\1(?:(?=\\S)(?:[^{}\\r\\n:()]|::?[\\w-]+(?:\\([^)\\r\\n]*\\)|(?![\\w-]))|\\{[^}\\r\\n]+\\})+)))*(?:,$|\\{|(?=(?:\\r?\\n|\\r)(?:\\{|\\1[ \\t])))/m,\n lookbehind: true,\n inside: {\n interpolation: inside.interpolation,\n comment: inside.comment,\n punctuation: /[{},]/\n }\n },\n func: inside.func,\n string: inside.string,\n comment: {\n pattern: /(^|[^\\\\])(?:\\/\\*[\\s\\S]*?\\*\\/|\\/\\/.*)/,\n lookbehind: true,\n greedy: true\n },\n interpolation: inside.interpolation,\n punctuation: /[{}()\\[\\];:.]/\n }\n })(Prism)\n}\n","// @ts-nocheck\nimport refractorMarkupTemplating from './markup-templating.js'\ntwig.displayName = 'twig'\ntwig.aliases = []\n\n/** @type {import('../core.js').Syntax} */\nexport default function twig(Prism) {\n Prism.register(refractorMarkupTemplating)\n Prism.languages.twig = {\n comment: /^\\{#[\\s\\S]*?#\\}$/,\n 'tag-name': {\n pattern: /(^\\{%-?\\s*)\\w+/,\n lookbehind: true,\n alias: 'keyword'\n },\n delimiter: {\n pattern: /^\\{[{%]-?|-?[%}]\\}$/,\n alias: 'punctuation'\n },\n string: {\n pattern: /(\"|')(?:\\\\.|(?!\\1)[^\\\\\\r\\n])*\\1/,\n inside: {\n punctuation: /^['\"]|['\"]$/\n }\n },\n keyword: /\\b(?:even|if|odd)\\b/,\n boolean: /\\b(?:false|null|true)\\b/,\n number: /\\b0x[\\dA-Fa-f]+|(?:\\b\\d+(?:\\.\\d*)?|\\B\\.\\d+)(?:[Ee][-+]?\\d+)?/,\n operator: [\n {\n pattern:\n /(\\s)(?:and|b-and|b-or|b-xor|ends with|in|is|matches|not|or|same as|starts with)(?=\\s)/,\n lookbehind: true\n },\n /[=<>]=?|!=|\\*\\*?|\\/\\/?|\\?:?|[-+~%|]/\n ],\n punctuation: /[()\\[\\]{}:.,]/\n }\n Prism.hooks.add('before-tokenize', function (env) {\n if (env.language !== 'twig') {\n return\n }\n var pattern = /\\{(?:#[\\s\\S]*?#|%[\\s\\S]*?%|\\{[\\s\\S]*?\\})\\}/g\n Prism.languages['markup-templating'].buildPlaceholders(env, 'twig', pattern)\n })\n Prism.hooks.add('after-tokenize', function (env) {\n Prism.languages['markup-templating'].tokenizePlaceholders(env, 'twig')\n })\n}\n","// @ts-nocheck\nimport refractorClike from './clike.js'\nqsharp.displayName = 'qsharp'\nqsharp.aliases = ['qs']\n\n/** @type {import('../core.js').Syntax} */\nexport default function qsharp(Prism) {\n Prism.register(refractorClike)\n ;(function (Prism) {\n /**\n * Replaces all placeholders \"<<n>>\" of given pattern with the n-th replacement (zero based).\n *\n * Note: This is a simple text based replacement. Be careful when using backreferences!\n *\n * @param {string} pattern the given pattern.\n * @param {string[]} replacements a list of replacement which can be inserted into the given pattern.\n * @returns {string} the pattern with all placeholders replaced with their corresponding replacements.\n * @example replace(/a<<0>>a/.source, [/b+/.source]) === /a(?:b+)a/.source\n */\n function replace(pattern, replacements) {\n return pattern.replace(/<<(\\d+)>>/g, function (m, index) {\n return '(?:' + replacements[+index] + ')'\n })\n }\n /**\n * @param {string} pattern\n * @param {string[]} replacements\n * @param {string} [flags]\n * @returns {RegExp}\n */\n function re(pattern, replacements, flags) {\n return RegExp(replace(pattern, replacements), flags || '')\n }\n\n /**\n * Creates a nested pattern where all occurrences of the string `<<self>>` are replaced with the pattern itself.\n *\n * @param {string} pattern\n * @param {number} depthLog2\n * @returns {string}\n */\n function nested(pattern, depthLog2) {\n for (var i = 0; i < depthLog2; i++) {\n pattern = pattern.replace(/<<self>>/g, function () {\n return '(?:' + pattern + ')'\n })\n }\n return pattern.replace(/<<self>>/g, '[^\\\\s\\\\S]')\n }\n\n // https://docs.microsoft.com/en-us/azure/quantum/user-guide/language/typesystem/\n // https://github.com/microsoft/qsharp-language/tree/main/Specifications/Language/5_Grammar\n var keywordKinds = {\n // keywords which represent a return or variable type\n type: 'Adj BigInt Bool Ctl Double false Int One Pauli PauliI PauliX PauliY PauliZ Qubit Range Result String true Unit Zero',\n // all other keywords\n other:\n 'Adjoint adjoint apply as auto body borrow borrowing Controlled controlled distribute elif else fail fixup for function if in internal intrinsic invert is let mutable namespace new newtype open operation repeat return self set until use using while within'\n }\n // keywords\n function keywordsToPattern(words) {\n return '\\\\b(?:' + words.trim().replace(/ /g, '|') + ')\\\\b'\n }\n var keywords = RegExp(\n keywordsToPattern(keywordKinds.type + ' ' + keywordKinds.other)\n )\n\n // types\n var identifier = /\\b[A-Za-z_]\\w*\\b/.source\n var qualifiedName = replace(/<<0>>(?:\\s*\\.\\s*<<0>>)*/.source, [identifier])\n var typeInside = {\n keyword: keywords,\n punctuation: /[<>()?,.:[\\]]/\n }\n\n // strings\n var regularString = /\"(?:\\\\.|[^\\\\\"])*\"/.source\n Prism.languages.qsharp = Prism.languages.extend('clike', {\n comment: /\\/\\/.*/,\n string: [\n {\n pattern: re(/(^|[^$\\\\])<<0>>/.source, [regularString]),\n lookbehind: true,\n greedy: true\n }\n ],\n 'class-name': [\n {\n // open Microsoft.Quantum.Canon;\n // open Microsoft.Quantum.Canon as CN;\n pattern: re(/(\\b(?:as|open)\\s+)<<0>>(?=\\s*(?:;|as\\b))/.source, [\n qualifiedName\n ]),\n lookbehind: true,\n inside: typeInside\n },\n {\n // namespace Quantum.App1;\n pattern: re(/(\\bnamespace\\s+)<<0>>(?=\\s*\\{)/.source, [qualifiedName]),\n lookbehind: true,\n inside: typeInside\n }\n ],\n keyword: keywords,\n number:\n /(?:\\b0(?:x[\\da-f]+|b[01]+|o[0-7]+)|(?:\\B\\.\\d+|\\b\\d+(?:\\.\\d*)?)(?:e[-+]?\\d+)?)l?\\b/i,\n operator:\n /\\band=|\\bor=|\\band\\b|\\bnot\\b|\\bor\\b|<[-=]|[-=]>|>>>=?|<<<=?|\\^\\^\\^=?|\\|\\|\\|=?|&&&=?|w\\/=?|~~~|[*\\/+\\-^=!%]=?/,\n punctuation: /::|[{}[\\];(),.:]/\n })\n Prism.languages.insertBefore('qsharp', 'number', {\n range: {\n pattern: /\\.\\./,\n alias: 'operator'\n }\n })\n\n // single line\n var interpolationExpr = nested(\n replace(/\\{(?:[^\"{}]|<<0>>|<<self>>)*\\}/.source, [regularString]),\n 2\n )\n Prism.languages.insertBefore('qsharp', 'string', {\n 'interpolation-string': {\n pattern: re(/\\$\"(?:\\\\.|<<0>>|[^\\\\\"{])*\"/.source, [interpolationExpr]),\n greedy: true,\n inside: {\n interpolation: {\n pattern: re(/((?:^|[^\\\\])(?:\\\\\\\\)*)<<0>>/.source, [\n interpolationExpr\n ]),\n lookbehind: true,\n inside: {\n punctuation: /^\\{|\\}$/,\n expression: {\n pattern: /[\\s\\S]+/,\n alias: 'language-qsharp',\n inside: Prism.languages.qsharp\n }\n }\n },\n string: /[\\s\\S]+/\n }\n }\n })\n })(Prism)\n Prism.languages.qs = Prism.languages.qsharp\n}\n","// @ts-nocheck\nq.displayName = 'q'\nq.aliases = []\n\n/** @type {import('../core.js').Syntax} */\nexport default function q(Prism) {\n Prism.languages.q = {\n string: /\"(?:\\\\.|[^\"\\\\\\r\\n])*\"/,\n comment: [\n // From http://code.kx.com/wiki/Reference/Slash:\n // When / is following a space (or a right parenthesis, bracket, or brace), it is ignored with the rest of the line.\n {\n pattern: /([\\t )\\]}])\\/.*/,\n lookbehind: true,\n greedy: true\n },\n // From http://code.kx.com/wiki/Reference/Slash:\n // A line which has / as its first character and contains at least one other non-whitespace character is a whole-line comment and is ignored entirely.\n // A / on a line by itself begins a multiline comment which is terminated by the next \\ on a line by itself.\n // If a / is not matched by a \\, the multiline comment is unterminated and continues to end of file.\n // The / and \\ must be the first char on the line, but may be followed by any amount of whitespace.\n {\n pattern:\n /(^|\\r?\\n|\\r)\\/[\\t ]*(?:(?:\\r?\\n|\\r)(?:.*(?:\\r?\\n|\\r(?!\\n)))*?(?:\\\\(?=[\\t ]*(?:\\r?\\n|\\r))|$)|\\S.*)/,\n lookbehind: true,\n greedy: true\n },\n // From http://code.kx.com/wiki/Reference/Slash:\n // A \\ on a line by itself with no preceding matching / will comment to end of file.\n {\n pattern: /^\\\\[\\t ]*(?:\\r?\\n|\\r)[\\s\\S]+/m,\n greedy: true\n },\n {\n pattern: /^#!.+/m,\n greedy: true\n }\n ],\n symbol: /`(?::\\S+|[\\w.]*)/,\n datetime: {\n pattern:\n /0N[mdzuvt]|0W[dtz]|\\d{4}\\.\\d\\d(?:m|\\.\\d\\d(?:T(?:\\d\\d(?::\\d\\d(?::\\d\\d(?:[.:]\\d\\d\\d)?)?)?)?)?[dz]?)|\\d\\d:\\d\\d(?::\\d\\d(?:[.:]\\d\\d\\d)?)?[uvt]?/,\n alias: 'number'\n },\n // The negative look-ahead prevents bad highlighting\n // of verbs 0: and 1:\n number:\n /\\b(?![01]:)(?:0N[hje]?|0W[hj]?|0[wn]|0x[\\da-fA-F]+|\\d+(?:\\.\\d*)?(?:e[+-]?\\d+)?[hjfeb]?)/,\n keyword:\n /\\\\\\w+\\b|\\b(?:abs|acos|aj0?|all|and|any|asc|asin|asof|atan|attr|avgs?|binr?|by|ceiling|cols|cor|cos|count|cov|cross|csv|cut|delete|deltas|desc|dev|differ|distinct|div|do|dsave|ej|enlist|eval|except|exec|exit|exp|fby|fills|first|fkeys|flip|floor|from|get|getenv|group|gtime|hclose|hcount|hdel|hopen|hsym|iasc|identity|idesc|if|ij|in|insert|inter|inv|keys?|last|like|list|ljf?|load|log|lower|lsq|ltime|ltrim|mavg|maxs?|mcount|md5|mdev|med|meta|mins?|mmax|mmin|mmu|mod|msum|neg|next|not|null|or|over|parse|peach|pj|plist|prds?|prev|prior|rand|rank|ratios|raze|read0|read1|reciprocal|reval|reverse|rload|rotate|rsave|rtrim|save|scan|scov|sdev|select|set|setenv|show|signum|sin|sqrt|ssr?|string|sublist|sums?|sv|svar|system|tables|tan|til|trim|txf|type|uj|ungroup|union|update|upper|upsert|value|var|views?|vs|wavg|where|while|within|wj1?|wsum|ww|xasc|xbar|xcols?|xdesc|xexp|xgroup|xkey|xlog|xprev|xrank)\\b/,\n adverb: {\n pattern: /['\\/\\\\]:?|\\beach\\b/,\n alias: 'function'\n },\n verb: {\n pattern: /(?:\\B\\.\\B|\\b[01]:|<[=>]?|>=?|[:+\\-*%,!?~=|$&#@^]):?|\\b_\\b:?/,\n alias: 'operator'\n },\n punctuation: /[(){}\\[\\];.]/\n }\n}\n","// @ts-nocheck\nimport refractorJavascript from './javascript.js'\nqml.displayName = 'qml'\nqml.aliases = []\n\n/** @type {import('../core.js').Syntax} */\nexport default function qml(Prism) {\n Prism.register(refractorJavascript)\n ;(function (Prism) {\n var jsString = /\"(?:\\\\.|[^\\\\\"\\r\\n])*\"|'(?:\\\\.|[^\\\\'\\r\\n])*'/.source\n var jsComment = /\\/\\/.*(?!.)|\\/\\*(?:[^*]|\\*(?!\\/))*\\*\\//.source\n var jsExpr =\n /(?:[^\\\\()[\\]{}\"'/]|<string>|\\/(?![*/])|<comment>|\\(<expr>*\\)|\\[<expr>*\\]|\\{<expr>*\\}|\\\\[\\s\\S])/.source\n .replace(/<string>/g, function () {\n return jsString\n })\n .replace(/<comment>/g, function () {\n return jsComment\n })\n\n // the pattern will blow up, so only a few iterations\n for (var i = 0; i < 2; i++) {\n jsExpr = jsExpr.replace(/<expr>/g, function () {\n return jsExpr\n })\n }\n jsExpr = jsExpr.replace(/<expr>/g, '[^\\\\s\\\\S]')\n Prism.languages.qml = {\n comment: {\n pattern: /\\/\\/.*|\\/\\*[\\s\\S]*?\\*\\//,\n greedy: true\n },\n 'javascript-function': {\n pattern: RegExp(\n /((?:^|;)[ \\t]*)function\\s+(?!\\s)[_$a-zA-Z\\xA0-\\uFFFF](?:(?!\\s)[$\\w\\xA0-\\uFFFF])*\\s*\\(<js>*\\)\\s*\\{<js>*\\}/.source.replace(\n /<js>/g,\n function () {\n return jsExpr\n }\n ),\n 'm'\n ),\n lookbehind: true,\n greedy: true,\n alias: 'language-javascript',\n inside: Prism.languages.javascript\n },\n 'class-name': {\n pattern: /((?:^|[:;])[ \\t]*)(?!\\d)\\w+(?=[ \\t]*\\{|[ \\t]+on\\b)/m,\n lookbehind: true\n },\n property: [\n {\n pattern: /((?:^|[;{])[ \\t]*)(?!\\d)\\w+(?:\\.\\w+)*(?=[ \\t]*:)/m,\n lookbehind: true\n },\n {\n pattern:\n /((?:^|[;{])[ \\t]*)property[ \\t]+(?!\\d)\\w+(?:\\.\\w+)*[ \\t]+(?!\\d)\\w+(?:\\.\\w+)*(?=[ \\t]*:)/m,\n lookbehind: true,\n inside: {\n keyword: /^property/,\n property: /\\w+(?:\\.\\w+)*/\n }\n }\n ],\n 'javascript-expression': {\n pattern: RegExp(\n /(:[ \\t]*)(?![\\s;}[])(?:(?!$|[;}])<js>)+/.source.replace(\n /<js>/g,\n function () {\n return jsExpr\n }\n ),\n 'm'\n ),\n lookbehind: true,\n greedy: true,\n alias: 'language-javascript',\n inside: Prism.languages.javascript\n },\n string: {\n pattern: /\"(?:\\\\.|[^\\\\\"\\r\\n])*\"/,\n greedy: true\n },\n keyword: /\\b(?:as|import|on)\\b/,\n punctuation: /[{}[\\]:;,]/\n }\n })(Prism)\n}\n","// @ts-nocheck\nimport refractorClike from './clike.js'\nqore.displayName = 'qore'\nqore.aliases = []\n\n/** @type {import('../core.js').Syntax} */\nexport default function qore(Prism) {\n Prism.register(refractorClike)\n Prism.languages.qore = Prism.languages.extend('clike', {\n comment: {\n pattern: /(^|[^\\\\])(?:\\/\\*[\\s\\S]*?\\*\\/|(?:\\/\\/|#).*)/,\n lookbehind: true\n },\n // Overridden to allow unescaped multi-line strings\n string: {\n pattern: /(\"|')(?:\\\\[\\s\\S]|(?!\\1)[^\\\\])*\\1/,\n greedy: true\n },\n keyword:\n /\\b(?:abstract|any|assert|binary|bool|boolean|break|byte|case|catch|char|class|code|const|continue|data|default|do|double|else|enum|extends|final|finally|float|for|goto|hash|if|implements|import|inherits|instanceof|int|interface|long|my|native|new|nothing|null|object|our|own|private|reference|rethrow|return|short|soft(?:bool|date|float|int|list|number|string)|static|strictfp|string|sub|super|switch|synchronized|this|throw|throws|transient|try|void|volatile|while)\\b/,\n boolean: /\\b(?:false|true)\\b/i,\n function: /\\$?\\b(?!\\d)\\w+(?=\\()/,\n number:\n /\\b(?:0b[01]+|0x(?:[\\da-f]*\\.)?[\\da-fp\\-]+|(?:\\d+(?:\\.\\d+)?|\\.\\d+)(?:e\\d+)?[df]|(?:\\d+(?:\\.\\d+)?|\\.\\d+))\\b/i,\n operator: {\n pattern:\n /(^|[^.])(?:\\+[+=]?|-[-=]?|[!=](?:==?|~)?|>>?=?|<(?:=>?|<=?)?|&[&=]?|\\|[|=]?|[*\\/%^]=?|[~?])/,\n lookbehind: true\n },\n variable: /\\$(?!\\d)\\w+\\b/\n })\n}\n","// @ts-nocheck\nimport refractorScheme from './scheme.js'\nracket.displayName = 'racket'\nracket.aliases = ['rkt']\n\n/** @type {import('../core.js').Syntax} */\nexport default function racket(Prism) {\n Prism.register(refractorScheme)\n Prism.languages.racket = Prism.languages.extend('scheme', {\n 'lambda-parameter': {\n // the racket lambda syntax is a lot more complex, so we won't even attempt to capture it.\n // this will just prevent false positives of the `function` pattern\n pattern: /([(\\[]lambda\\s+[(\\[])[^()\\[\\]'\\s]+/,\n lookbehind: true\n }\n })\n Prism.languages.insertBefore('racket', 'string', {\n lang: {\n pattern: /^#lang.+/m,\n greedy: true,\n alias: 'keyword'\n }\n })\n Prism.languages.rkt = Prism.languages.racket\n}\n","// @ts-nocheck\nimport refractorJsx from './jsx.js'\nimport refractorTypescript from './typescript.js'\ntsx.displayName = 'tsx'\ntsx.aliases = []\n\n/** @type {import('../core.js').Syntax} */\nexport default function tsx(Prism) {\n Prism.register(refractorJsx)\n Prism.register(refractorTypescript)\n ;(function (Prism) {\n var typescript = Prism.util.clone(Prism.languages.typescript)\n Prism.languages.tsx = Prism.languages.extend('jsx', typescript)\n\n // doesn't work with TS because TS is too complex\n delete Prism.languages.tsx['parameter']\n delete Prism.languages.tsx['literal-property']\n\n // This will prevent collisions between TSX tags and TS generic types.\n // Idea by https://github.com/karlhorky\n // Discussion: https://github.com/PrismJS/prism/issues/2594#issuecomment-710666928\n var tag = Prism.languages.tsx.tag\n tag.pattern = RegExp(\n /(^|[^\\w$]|(?=<\\/))/.source + '(?:' + tag.pattern.source + ')',\n tag.pattern.flags\n )\n tag.lookbehind = true\n })(Prism)\n}\n","// @ts-nocheck\nimport refractorClike from './clike.js'\nreason.displayName = 'reason'\nreason.aliases = []\n\n/** @type {import('../core.js').Syntax} */\nexport default function reason(Prism) {\n Prism.register(refractorClike)\n Prism.languages.reason = Prism.languages.extend('clike', {\n string: {\n pattern: /\"(?:\\\\(?:\\r\\n|[\\s\\S])|[^\\\\\\r\\n\"])*\"/,\n greedy: true\n },\n // 'class-name' must be matched *after* 'constructor' defined below\n 'class-name': /\\b[A-Z]\\w*/,\n keyword:\n /\\b(?:and|as|assert|begin|class|constraint|do|done|downto|else|end|exception|external|for|fun|function|functor|if|in|include|inherit|initializer|lazy|let|method|module|mutable|new|nonrec|object|of|open|or|private|rec|sig|struct|switch|then|to|try|type|val|virtual|when|while|with)\\b/,\n operator:\n /\\.{3}|:[:=]|\\|>|->|=(?:==?|>)?|<=?|>=?|[|^?'#!~`]|[+\\-*\\/]\\.?|\\b(?:asr|land|lor|lsl|lsr|lxor|mod)\\b/\n })\n Prism.languages.insertBefore('reason', 'class-name', {\n char: {\n pattern: /'(?:\\\\x[\\da-f]{2}|\\\\o[0-3][0-7][0-7]|\\\\\\d{3}|\\\\.|[^'\\\\\\r\\n])'/,\n greedy: true\n },\n // Negative look-ahead prevents from matching things like String.capitalize\n constructor: /\\b[A-Z]\\w*\\b(?!\\s*\\.)/,\n label: {\n pattern: /\\b[a-z]\\w*(?=::)/,\n alias: 'symbol'\n }\n })\n\n // We can't match functions property, so let's not even try.\n delete Prism.languages.reason.function\n}\n","// @ts-nocheck\nrego.displayName = 'rego'\nrego.aliases = []\n\n/** @type {import('../core.js').Syntax} */\nexport default function rego(Prism) {\n // https://www.openpolicyagent.org/docs/latest/policy-reference/\n\n Prism.languages.rego = {\n comment: /#.*/,\n property: {\n pattern:\n /(^|[^\\\\.])(?:\"(?:\\\\.|[^\\\\\"\\r\\n])*\"|`[^`]*`|\\b[a-z_]\\w*\\b)(?=\\s*:(?!=))/i,\n lookbehind: true,\n greedy: true\n },\n string: {\n pattern: /(^|[^\\\\])\"(?:\\\\.|[^\\\\\"\\r\\n])*\"|`[^`]*`/,\n lookbehind: true,\n greedy: true\n },\n keyword:\n /\\b(?:as|default|else|import|not|null|package|set(?=\\s*\\()|some|with)\\b/,\n boolean: /\\b(?:false|true)\\b/,\n function: {\n pattern: /\\b[a-z_]\\w*\\b(?:\\s*\\.\\s*\\b[a-z_]\\w*\\b)*(?=\\s*\\()/i,\n inside: {\n namespace: /\\b\\w+\\b(?=\\s*\\.)/,\n punctuation: /\\./\n }\n },\n number: /-?\\b\\d+(?:\\.\\d+)?(?:e[+-]?\\d+)?\\b/i,\n operator: /[-+*/%|&]|[<>:=]=?|!=|\\b_\\b/,\n punctuation: /[,;.\\[\\]{}()]/\n }\n}\n","// @ts-nocheck\nrenpy.displayName = 'renpy'\nrenpy.aliases = ['rpy']\n\n/** @type {import('../core.js').Syntax} */\nexport default function renpy(Prism) {\n Prism.languages.renpy = {\n comment: {\n pattern: /(^|[^\\\\])#.+/,\n lookbehind: true\n },\n string: {\n pattern:\n /(\"\"\"|''')[\\s\\S]+?\\1|(\"|')(?:\\\\.|(?!\\2)[^\\\\])*\\2|(?:^#?(?:(?:[0-9a-fA-F]){3}|[0-9a-fA-F]{6})$)/m,\n greedy: true\n },\n function: /\\b[a-z_]\\w*(?=\\()/i,\n property:\n /\\b(?:Update|UpdateVersion|action|activate_sound|adv_nvl_transition|after_load_transition|align|alpha|alt|anchor|antialias|area|auto|background|bar_invert|bar_resizing|bar_vertical|black_color|bold|bottom_bar|bottom_gutter|bottom_margin|bottom_padding|box_reverse|box_wrap|can_update|caret|child|color|crop|default_afm_enable|default_afm_time|default_fullscreen|default_text_cps|developer|directory_name|drag_handle|drag_joined|drag_name|drag_raise|draggable|dragged|drop_shadow|drop_shadow_color|droppable|dropped|easein|easeout|edgescroll|end_game_transition|end_splash_transition|enter_replay_transition|enter_sound|enter_transition|enter_yesno_transition|executable_name|exit_replay_transition|exit_sound|exit_transition|exit_yesno_transition|fadein|fadeout|first_indent|first_spacing|fit_first|focus|focus_mask|font|foreground|game_main_transition|get_installed_packages|google_play_key|google_play_salt|ground|has_music|has_sound|has_voice|height|help|hinting|hover|hover_background|hover_color|hover_sound|hovered|hyperlink_functions|idle|idle_color|image_style|include_update|insensitive|insensitive_background|insensitive_color|inside|intra_transition|italic|justify|kerning|keyboard_focus|language|layer_clipping|layers|layout|left_bar|left_gutter|left_margin|left_padding|length|line_leading|line_overlap_split|line_spacing|linear|main_game_transition|main_menu_music|maximum|min_width|minimum|minwidth|modal|mouse|mousewheel|name|narrator_menu|newline_indent|nvl_adv_transition|offset|order_reverse|outlines|overlay_functions|pos|position|prefix|radius|range|rest_indent|right_bar|right_gutter|right_margin|right_padding|rotate|rotate_pad|ruby_style|sample_sound|save_directory|say_attribute_transition|screen_height|screen_width|scrollbars|selected_hover|selected_hover_color|selected_idle|selected_idle_color|selected_insensitive|show_side_image|show_two_window|side_spacing|side_xpos|side_ypos|size|size_group|slow_cps|slow_cps_multiplier|spacing|strikethrough|subpixel|text_align|text_style|text_xpos|text_y_fudge|text_ypos|thumb|thumb_offset|thumb_shadow|thumbnail_height|thumbnail_width|time|top_bar|top_gutter|top_margin|top_padding|translations|underline|unscrollable|update|value|version|version_name|version_tuple|vertical|width|window_hide_transition|window_icon|window_left_padding|window_show_transition|window_title|windows_icon|xadjustment|xalign|xanchor|xanchoraround|xaround|xcenter|xfill|xinitial|xmargin|xmaximum|xminimum|xoffset|xofsset|xpadding|xpos|xsize|xzoom|yadjustment|yalign|yanchor|yanchoraround|yaround|ycenter|yfill|yinitial|ymargin|ymaximum|yminimum|yoffset|ypadding|ypos|ysize|ysizexysize|yzoom|zoom|zorder)\\b/,\n tag: /\\b(?:bar|block|button|buttoscreenn|drag|draggroup|fixed|frame|grid|[hv]box|hotbar|hotspot|image|imagebutton|imagemap|input|key|label|menu|mm_menu_frame|mousearea|nvl|parallel|screen|self|side|tag|text|textbutton|timer|vbar|viewport|window)\\b|\\$/,\n keyword:\n /\\b(?:None|add|adjustment|alignaround|allow|angle|animation|around|as|assert|behind|box_layout|break|build|cache|call|center|changed|child_size|choice|circles|class|clear|clicked|clipping|clockwise|config|contains|continue|corner1|corner2|counterclockwise|def|default|define|del|delay|disabled|disabled_text|dissolve|elif|else|event|except|exclude|exec|expression|fade|finally|for|from|function|global|gm_root|has|hide|id|if|import|in|init|is|jump|knot|lambda|left|less_rounded|mm_root|movie|music|null|on|onlayer|pass|pause|persistent|play|print|python|queue|raise|random|renpy|repeat|return|right|rounded_window|scene|scope|set|show|slow|slow_abortable|slow_done|sound|stop|store|style|style_group|substitute|suffix|theme|transform|transform_anchor|transpose|try|ui|unhovered|updater|use|voice|while|widget|widget_hover|widget_selected|widget_text|yield)\\b/,\n boolean: /\\b(?:[Ff]alse|[Tt]rue)\\b/,\n number:\n /(?:\\b(?:0[bo])?(?:(?:\\d|0x[\\da-f])[\\da-f]*(?:\\.\\d*)?)|\\B\\.\\d+)(?:e[+-]?\\d+)?j?/i,\n operator:\n /[-+%=]=?|!=|\\*\\*?=?|\\/\\/?=?|<[<=>]?|>[=>]?|[&|^~]|\\b(?:and|at|not|or|with)\\b/,\n punctuation: /[{}[\\];(),.:]/\n }\n Prism.languages.rpy = Prism.languages.renpy\n}\n","// @ts-nocheck\nrescript.displayName = 'rescript'\nrescript.aliases = ['res']\n\n/** @type {import('../core.js').Syntax} */\nexport default function rescript(Prism) {\n Prism.languages.rescript = {\n comment: {\n pattern: /\\/\\/.*|\\/\\*[\\s\\S]*?(?:\\*\\/|$)/,\n greedy: true\n },\n char: {\n pattern: /'(?:[^\\r\\n\\\\]|\\\\(?:.|\\w+))'/,\n greedy: true\n },\n string: {\n pattern: /\"(?:\\\\(?:\\r\\n|[\\s\\S])|[^\\\\\\r\\n\"])*\"/,\n greedy: true\n },\n 'class-name': /\\b[A-Z]\\w*|@[a-z.]*|#[A-Za-z]\\w*|#\\d/,\n function: {\n pattern: /[a-zA-Z]\\w*(?=\\()|(\\.)[a-z]\\w*/,\n lookbehind: true\n },\n number:\n /(?:\\b0x(?:[\\da-f]+(?:\\.[\\da-f]*)?|\\.[\\da-f]+)(?:p[+-]?\\d+)?|(?:\\b\\d+(?:\\.\\d*)?|\\B\\.\\d+)(?:e[+-]?\\d+)?)[ful]{0,4}/i,\n boolean: /\\b(?:false|true)\\b/,\n 'attr-value': /[A-Za-z]\\w*(?==)/,\n constant: {\n pattern: /(\\btype\\s+)[a-z]\\w*/,\n lookbehind: true\n },\n tag: {\n pattern: /(<)[a-z]\\w*|(?:<\\/)[a-z]\\w*/,\n lookbehind: true,\n inside: {\n operator: /<|>|\\//\n }\n },\n keyword:\n /\\b(?:and|as|assert|begin|bool|class|constraint|do|done|downto|else|end|exception|external|float|for|fun|function|if|in|include|inherit|initializer|int|lazy|let|method|module|mutable|new|nonrec|object|of|open|or|private|rec|string|switch|then|to|try|type|when|while|with)\\b/,\n operator:\n /\\.{3}|:[:=]?|\\|>|->|=(?:==?|>)?|<=?|>=?|[|^?'#!~`]|[+\\-*\\/]\\.?|\\b(?:asr|land|lor|lsl|lsr|lxor|mod)\\b/,\n punctuation: /[(){}[\\],;.]/\n }\n Prism.languages.insertBefore('rescript', 'string', {\n 'template-string': {\n pattern:\n /`(?:\\\\[\\s\\S]|\\$\\{(?:[^{}]|\\{(?:[^{}]|\\{[^}]*\\})*\\})+\\}|(?!\\$\\{)[^\\\\`])*`/,\n greedy: true,\n inside: {\n 'template-punctuation': {\n pattern: /^`|`$/,\n alias: 'string'\n },\n interpolation: {\n pattern:\n /((?:^|[^\\\\])(?:\\\\{2})*)\\$\\{(?:[^{}]|\\{(?:[^{}]|\\{[^}]*\\})*\\})+\\}/,\n lookbehind: true,\n inside: {\n 'interpolation-punctuation': {\n pattern: /^\\$\\{|\\}$/,\n alias: 'tag'\n },\n rest: Prism.languages.rescript\n }\n },\n string: /[\\s\\S]+/\n }\n }\n })\n Prism.languages.res = Prism.languages.rescript\n}\n","// @ts-nocheck\nrest.displayName = 'rest'\nrest.aliases = []\n\n/** @type {import('../core.js').Syntax} */\nexport default function rest(Prism) {\n Prism.languages.rest = {\n table: [\n {\n pattern:\n /(^[\\t ]*)(?:\\+[=-]+)+\\+(?:\\r?\\n|\\r)(?:\\1[+|].+[+|](?:\\r?\\n|\\r))+\\1(?:\\+[=-]+)+\\+/m,\n lookbehind: true,\n inside: {\n punctuation: /\\||(?:\\+[=-]+)+\\+/\n }\n },\n {\n pattern:\n /(^[\\t ]*)=+ [ =]*=(?:(?:\\r?\\n|\\r)\\1.+)+(?:\\r?\\n|\\r)\\1=+ [ =]*=(?=(?:\\r?\\n|\\r){2}|\\s*$)/m,\n lookbehind: true,\n inside: {\n punctuation: /[=-]+/\n }\n }\n ],\n // Directive-like patterns\n\n 'substitution-def': {\n pattern: /(^[\\t ]*\\.\\. )\\|(?:[^|\\s](?:[^|]*[^|\\s])?)\\| [^:]+::/m,\n lookbehind: true,\n inside: {\n substitution: {\n pattern: /^\\|(?:[^|\\s]|[^|\\s][^|]*[^|\\s])\\|/,\n alias: 'attr-value',\n inside: {\n punctuation: /^\\||\\|$/\n }\n },\n directive: {\n pattern: /( )(?! )[^:]+::/,\n lookbehind: true,\n alias: 'function',\n inside: {\n punctuation: /::$/\n }\n }\n }\n },\n 'link-target': [\n {\n pattern: /(^[\\t ]*\\.\\. )\\[[^\\]]+\\]/m,\n lookbehind: true,\n alias: 'string',\n inside: {\n punctuation: /^\\[|\\]$/\n }\n },\n {\n pattern: /(^[\\t ]*\\.\\. )_(?:`[^`]+`|(?:[^:\\\\]|\\\\.)+):/m,\n lookbehind: true,\n alias: 'string',\n inside: {\n punctuation: /^_|:$/\n }\n }\n ],\n directive: {\n pattern: /(^[\\t ]*\\.\\. )[^:]+::/m,\n lookbehind: true,\n alias: 'function',\n inside: {\n punctuation: /::$/\n }\n },\n comment: {\n // The two alternatives try to prevent highlighting of blank comments\n pattern:\n /(^[\\t ]*\\.\\.)(?:(?: .+)?(?:(?:\\r?\\n|\\r).+)+| .+)(?=(?:\\r?\\n|\\r){2}|$)/m,\n lookbehind: true\n },\n title: [\n // Overlined and underlined\n {\n pattern:\n /^(([!\"#$%&'()*+,\\-.\\/:;<=>?@\\[\\\\\\]^_`{|}~])\\2+)(?:\\r?\\n|\\r).+(?:\\r?\\n|\\r)\\1$/m,\n inside: {\n punctuation:\n /^[!\"#$%&'()*+,\\-.\\/:;<=>?@\\[\\\\\\]^_`{|}~]+|[!\"#$%&'()*+,\\-.\\/:;<=>?@\\[\\\\\\]^_`{|}~]+$/,\n important: /.+/\n }\n },\n // Underlined only\n {\n pattern:\n /(^|(?:\\r?\\n|\\r){2}).+(?:\\r?\\n|\\r)([!\"#$%&'()*+,\\-.\\/:;<=>?@\\[\\\\\\]^_`{|}~])\\2+(?=\\r?\\n|\\r|$)/,\n lookbehind: true,\n inside: {\n punctuation: /[!\"#$%&'()*+,\\-.\\/:;<=>?@\\[\\\\\\]^_`{|}~]+$/,\n important: /.+/\n }\n }\n ],\n hr: {\n pattern:\n /((?:\\r?\\n|\\r){2})([!\"#$%&'()*+,\\-.\\/:;<=>?@\\[\\\\\\]^_`{|}~])\\2{3,}(?=(?:\\r?\\n|\\r){2})/,\n lookbehind: true,\n alias: 'punctuation'\n },\n field: {\n pattern: /(^[\\t ]*):[^:\\r\\n]+:(?= )/m,\n lookbehind: true,\n alias: 'attr-name'\n },\n 'command-line-option': {\n pattern:\n /(^[\\t ]*)(?:[+-][a-z\\d]|(?:--|\\/)[a-z\\d-]+)(?:[ =](?:[a-z][\\w-]*|<[^<>]+>))?(?:, (?:[+-][a-z\\d]|(?:--|\\/)[a-z\\d-]+)(?:[ =](?:[a-z][\\w-]*|<[^<>]+>))?)*(?=(?:\\r?\\n|\\r)? {2,}\\S)/im,\n lookbehind: true,\n alias: 'symbol'\n },\n 'literal-block': {\n pattern: /::(?:\\r?\\n|\\r){2}([ \\t]+)(?![ \\t]).+(?:(?:\\r?\\n|\\r)\\1.+)*/,\n inside: {\n 'literal-block-punctuation': {\n pattern: /^::/,\n alias: 'punctuation'\n }\n }\n },\n 'quoted-literal-block': {\n pattern:\n /::(?:\\r?\\n|\\r){2}([!\"#$%&'()*+,\\-.\\/:;<=>?@\\[\\\\\\]^_`{|}~]).*(?:(?:\\r?\\n|\\r)\\1.*)*/,\n inside: {\n 'literal-block-punctuation': {\n pattern: /^(?:::|([!\"#$%&'()*+,\\-.\\/:;<=>?@\\[\\\\\\]^_`{|}~])\\1*)/m,\n alias: 'punctuation'\n }\n }\n },\n 'list-bullet': {\n pattern:\n /(^[\\t ]*)(?:[*+\\-•‣⁃]|\\(?(?:\\d+|[a-z]|[ivxdclm]+)\\)|(?:\\d+|[a-z]|[ivxdclm]+)\\.)(?= )/im,\n lookbehind: true,\n alias: 'punctuation'\n },\n 'doctest-block': {\n pattern: /(^[\\t ]*)>>> .+(?:(?:\\r?\\n|\\r).+)*/m,\n lookbehind: true,\n inside: {\n punctuation: /^>>>/\n }\n },\n inline: [\n {\n pattern:\n /(^|[\\s\\-:\\/'\"<(\\[{])(?::[^:]+:`.*?`|`.*?`:[^:]+:|(\\*\\*?|``?|\\|)(?!\\s)(?:(?!\\2).)*\\S\\2(?=[\\s\\-.,:;!?\\\\\\/'\")\\]}]|$))/m,\n lookbehind: true,\n inside: {\n bold: {\n pattern: /(^\\*\\*).+(?=\\*\\*$)/,\n lookbehind: true\n },\n italic: {\n pattern: /(^\\*).+(?=\\*$)/,\n lookbehind: true\n },\n 'inline-literal': {\n pattern: /(^``).+(?=``$)/,\n lookbehind: true,\n alias: 'symbol'\n },\n role: {\n pattern: /^:[^:]+:|:[^:]+:$/,\n alias: 'function',\n inside: {\n punctuation: /^:|:$/\n }\n },\n 'interpreted-text': {\n pattern: /(^`).+(?=`$)/,\n lookbehind: true,\n alias: 'attr-value'\n },\n substitution: {\n pattern: /(^\\|).+(?=\\|$)/,\n lookbehind: true,\n alias: 'attr-value'\n },\n punctuation: /\\*\\*?|``?|\\|/\n }\n }\n ],\n link: [\n {\n pattern: /\\[[^\\[\\]]+\\]_(?=[\\s\\-.,:;!?\\\\\\/'\")\\]}]|$)/,\n alias: 'string',\n inside: {\n punctuation: /^\\[|\\]_$/\n }\n },\n {\n pattern:\n /(?:\\b[a-z\\d]+(?:[_.:+][a-z\\d]+)*_?_|`[^`]+`_?_|_`[^`]+`)(?=[\\s\\-.,:;!?\\\\\\/'\")\\]}]|$)/i,\n alias: 'string',\n inside: {\n punctuation: /^_?`|`$|`?_?_$/\n }\n }\n ],\n // Line block start,\n // quote attribution,\n // explicit markup start,\n // and anonymous hyperlink target shortcut (__)\n punctuation: {\n pattern: /(^[\\t ]*)(?:\\|(?= |$)|(?:---?|—|\\.\\.|__)(?= )|\\.\\.$)/m,\n lookbehind: true\n }\n }\n}\n","// @ts-nocheck\nrip.displayName = 'rip'\nrip.aliases = []\n\n/** @type {import('../core.js').Syntax} */\nexport default function rip(Prism) {\n Prism.languages.rip = {\n comment: {\n pattern: /#.*/,\n greedy: true\n },\n char: {\n pattern: /\\B`[^\\s`'\",.:;#\\/\\\\()<>\\[\\]{}]\\b/,\n greedy: true\n },\n string: {\n pattern: /(\"|')(?:\\\\.|(?!\\1)[^\\\\\\r\\n])*\\1/,\n greedy: true\n },\n regex: {\n pattern:\n /(^|[^/])\\/(?!\\/)(?:\\[[^\\n\\r\\]]*\\]|\\\\.|[^/\\\\\\r\\n\\[])+\\/(?=\\s*(?:$|[\\r\\n,.;})]))/,\n lookbehind: true,\n greedy: true\n },\n keyword:\n /(?:=>|->)|\\b(?:case|catch|class|else|exit|finally|if|raise|return|switch|try)\\b/,\n builtin: /@|\\bSystem\\b/,\n boolean: /\\b(?:false|true)\\b/,\n date: /\\b\\d{4}-\\d{2}-\\d{2}\\b/,\n time: /\\b\\d{2}:\\d{2}:\\d{2}\\b/,\n datetime: /\\b\\d{4}-\\d{2}-\\d{2}T\\d{2}:\\d{2}:\\d{2}\\b/,\n symbol: /:[^\\d\\s`'\",.:;#\\/\\\\()<>\\[\\]{}][^\\s`'\",.:;#\\/\\\\()<>\\[\\]{}]*/,\n number: /[+-]?\\b(?:\\d+\\.\\d+|\\d+)\\b/,\n punctuation: /(?:\\.{2,3})|[`,.:;=\\/\\\\()<>\\[\\]{}]/,\n reference: /[^\\d\\s`'\",.:;#\\/\\\\()<>\\[\\]{}][^\\s`'\",.:;#\\/\\\\()<>\\[\\]{}]*/\n }\n}\n","// @ts-nocheck\nroboconf.displayName = 'roboconf'\nroboconf.aliases = []\n\n/** @type {import('../core.js').Syntax} */\nexport default function roboconf(Prism) {\n Prism.languages.roboconf = {\n comment: /#.*/,\n keyword: {\n pattern:\n /(^|\\s)(?:(?:external|import)\\b|(?:facet|instance of)(?=[ \\t]+[\\w-]+[ \\t]*\\{))/,\n lookbehind: true\n },\n component: {\n pattern: /[\\w-]+(?=[ \\t]*\\{)/,\n alias: 'variable'\n },\n property: /[\\w.-]+(?=[ \\t]*:)/,\n value: {\n pattern: /(=[ \\t]*(?![ \\t]))[^,;]+/,\n lookbehind: true,\n alias: 'attr-value'\n },\n optional: {\n pattern: /\\(optional\\)/,\n alias: 'builtin'\n },\n wildcard: {\n pattern: /(\\.)\\*/,\n lookbehind: true,\n alias: 'operator'\n },\n punctuation: /[{},.;:=]/\n }\n}\n","// @ts-nocheck\nrobotframework.displayName = 'robotframework'\nrobotframework.aliases = ['robot']\n\n/** @type {import('../core.js').Syntax} */\nexport default function robotframework(Prism) {\n ;(function (Prism) {\n var comment = {\n pattern: /(^[ \\t]*| {2}|\\t)#.*/m,\n lookbehind: true,\n greedy: true\n }\n var variable = {\n pattern: /((?:^|[^\\\\])(?:\\\\{2})*)[$@&%]\\{(?:[^{}\\r\\n]|\\{[^{}\\r\\n]*\\})*\\}/,\n lookbehind: true,\n inside: {\n punctuation: /^[$@&%]\\{|\\}$/\n }\n }\n function createSection(name, inside) {\n var extendecInside = {}\n extendecInside['section-header'] = {\n pattern: /^ ?\\*{3}.+?\\*{3}/,\n alias: 'keyword'\n }\n\n // copy inside tokens\n for (var token in inside) {\n extendecInside[token] = inside[token]\n }\n extendecInside['tag'] = {\n pattern: /([\\r\\n](?: {2}|\\t)[ \\t]*)\\[[-\\w]+\\]/,\n lookbehind: true,\n inside: {\n punctuation: /\\[|\\]/\n }\n }\n extendecInside['variable'] = variable\n extendecInside['comment'] = comment\n return {\n pattern: RegExp(\n /^ ?\\*{3}[ \\t]*<name>[ \\t]*\\*{3}(?:.|[\\r\\n](?!\\*{3}))*/.source.replace(\n /<name>/g,\n function () {\n return name\n }\n ),\n 'im'\n ),\n alias: 'section',\n inside: extendecInside\n }\n }\n var docTag = {\n pattern:\n /(\\[Documentation\\](?: {2}|\\t)[ \\t]*)(?![ \\t]|#)(?:.|(?:\\r\\n?|\\n)[ \\t]*\\.{3})+/,\n lookbehind: true,\n alias: 'string'\n }\n var testNameLike = {\n pattern: /([\\r\\n] ?)(?!#)(?:\\S(?:[ \\t]\\S)*)+/,\n lookbehind: true,\n alias: 'function',\n inside: {\n variable: variable\n }\n }\n var testPropertyLike = {\n pattern: /([\\r\\n](?: {2}|\\t)[ \\t]*)(?!\\[|\\.{3}|#)(?:\\S(?:[ \\t]\\S)*)+/,\n lookbehind: true,\n inside: {\n variable: variable\n }\n }\n Prism.languages['robotframework'] = {\n settings: createSection('Settings', {\n documentation: {\n pattern:\n /([\\r\\n] ?Documentation(?: {2}|\\t)[ \\t]*)(?![ \\t]|#)(?:.|(?:\\r\\n?|\\n)[ \\t]*\\.{3})+/,\n lookbehind: true,\n alias: 'string'\n },\n property: {\n pattern: /([\\r\\n] ?)(?!\\.{3}|#)(?:\\S(?:[ \\t]\\S)*)+/,\n lookbehind: true\n }\n }),\n variables: createSection('Variables'),\n 'test-cases': createSection('Test Cases', {\n 'test-name': testNameLike,\n documentation: docTag,\n property: testPropertyLike\n }),\n keywords: createSection('Keywords', {\n 'keyword-name': testNameLike,\n documentation: docTag,\n property: testPropertyLike\n }),\n tasks: createSection('Tasks', {\n 'task-name': testNameLike,\n documentation: docTag,\n property: testPropertyLike\n }),\n comment: comment\n }\n Prism.languages.robot = Prism.languages['robotframework']\n })(Prism)\n}\n","// @ts-nocheck\nsas.displayName = 'sas'\nsas.aliases = []\n\n/** @type {import('../core.js').Syntax} */\nexport default function sas(Prism) {\n ;(function (Prism) {\n var stringPattern = /(?:\"(?:\"\"|[^\"])*\"(?!\")|'(?:''|[^'])*'(?!'))/.source\n var number = /\\b(?:\\d[\\da-f]*x|\\d+(?:\\.\\d+)?(?:e[+-]?\\d+)?)\\b/i\n var numericConstant = {\n pattern: RegExp(stringPattern + '[bx]'),\n alias: 'number'\n }\n var macroVariable = {\n pattern: /&[a-z_]\\w*/i\n }\n var macroKeyword = {\n pattern:\n /((?:^|\\s|=|\\())%(?:ABORT|BY|CMS|COPY|DISPLAY|DO|ELSE|END|EVAL|GLOBAL|GO|GOTO|IF|INC|INCLUDE|INDEX|INPUT|KTRIM|LENGTH|LET|LIST|LOCAL|PUT|QKTRIM|QSCAN|QSUBSTR|QSYSFUNC|QUPCASE|RETURN|RUN|SCAN|SUBSTR|SUPERQ|SYMDEL|SYMEXIST|SYMGLOBL|SYMLOCAL|SYSCALL|SYSEVALF|SYSEXEC|SYSFUNC|SYSGET|SYSRPUT|THEN|TO|TSO|UNQUOTE|UNTIL|UPCASE|WHILE|WINDOW)\\b/i,\n lookbehind: true,\n alias: 'keyword'\n }\n var step = {\n pattern: /(^|\\s)(?:proc\\s+\\w+|data(?!=)|quit|run)\\b/i,\n alias: 'keyword',\n lookbehind: true\n }\n var comment = [\n /\\/\\*[\\s\\S]*?\\*\\//,\n {\n pattern: /(^[ \\t]*|;\\s*)\\*[^;]*;/m,\n lookbehind: true\n }\n ]\n var string = {\n pattern: RegExp(stringPattern),\n greedy: true\n }\n var punctuation = /[$%@.(){}\\[\\];,\\\\]/\n var func = {\n pattern: /%?\\b\\w+(?=\\()/,\n alias: 'keyword'\n }\n var args = {\n function: func,\n 'arg-value': {\n pattern: /(=\\s*)[A-Z\\.]+/i,\n lookbehind: true\n },\n operator: /=/,\n 'macro-variable': macroVariable,\n arg: {\n pattern: /[A-Z]+/i,\n alias: 'keyword'\n },\n number: number,\n 'numeric-constant': numericConstant,\n punctuation: punctuation,\n string: string\n }\n var format = {\n pattern: /\\b(?:format|put)\\b=?[\\w'$.]+/i,\n inside: {\n keyword: /^(?:format|put)(?==)/i,\n equals: /=/,\n format: {\n pattern: /(?:\\w|\\$\\d)+\\.\\d?/,\n alias: 'number'\n }\n }\n }\n var altformat = {\n pattern: /\\b(?:format|put)\\s+[\\w']+(?:\\s+[$.\\w]+)+(?=;)/i,\n inside: {\n keyword: /^(?:format|put)/i,\n format: {\n pattern: /[\\w$]+\\.\\d?/,\n alias: 'number'\n }\n }\n }\n var globalStatements = {\n pattern:\n /((?:^|\\s)=?)(?:catname|checkpoint execute_always|dm|endsas|filename|footnote|%include|libname|%list|lock|missing|options|page|resetline|%run|sasfile|skip|sysecho|title\\d?)\\b/i,\n lookbehind: true,\n alias: 'keyword'\n }\n var submitStatement = {\n pattern: /(^|\\s)(?:submit(?:\\s+(?:load|norun|parseonly))?|endsubmit)\\b/i,\n lookbehind: true,\n alias: 'keyword'\n }\n var actionSets =\n /aStore|accessControl|aggregation|audio|autotune|bayesianNetClassifier|bioMedImage|boolRule|builtins|cardinality|cdm|clustering|conditionalRandomFields|configuration|copula|countreg|dataDiscovery|dataPreprocess|dataSciencePilot|dataStep|decisionTree|deduplication|deepLearn|deepNeural|deepRnn|ds2|ecm|entityRes|espCluster|explainModel|factmac|fastKnn|fcmpact|fedSql|freqTab|gVarCluster|gam|gleam|graphSemiSupLearn|hiddenMarkovModel|hyperGroup|ica|image|iml|kernalPca|langModel|ldaTopic|loadStreams|mbc|mixed|mlTools|modelPublishing|network|neuralNet|nmf|nonParametricBayes|nonlinear|optNetwork|optimization|panel|pca|percentile|phreg|pls|qkb|qlim|quantreg|recommend|regression|reinforcementLearn|robustPca|ruleMining|sampling|sandwich|sccasl|search(?:Analytics)?|sentimentAnalysis|sequence|session(?:Prop)?|severity|simSystem|simple|smartData|sparkEmbeddedProcess|sparseML|spatialreg|spc|stabilityMonitoring|svDataDescription|svm|table|text(?:Filters|Frequency|Mining|Parse|Rule(?:Develop|Score)|Topic|Util)|timeData|transpose|tsInfo|tsReconcile|uniTimeSeries|varReduce/\n .source\n var casActions = {\n pattern: RegExp(\n /(^|\\s)(?:action\\s+)?(?:<act>)\\.[a-z]+\\b[^;]+/.source.replace(\n /<act>/g,\n function () {\n return actionSets\n }\n ),\n 'i'\n ),\n lookbehind: true,\n inside: {\n keyword: RegExp(\n /(?:<act>)\\.[a-z]+\\b/.source.replace(/<act>/g, function () {\n return actionSets\n }),\n 'i'\n ),\n action: {\n pattern: /(?:action)/i,\n alias: 'keyword'\n },\n comment: comment,\n function: func,\n 'arg-value': args['arg-value'],\n operator: args.operator,\n argument: args.arg,\n number: number,\n 'numeric-constant': numericConstant,\n punctuation: punctuation,\n string: string\n }\n }\n var keywords = {\n pattern:\n /((?:^|\\s)=?)(?:after|analysis|and|array|barchart|barwidth|begingraph|by|call|cas|cbarline|cfill|class(?:lev)?|close|column|computed?|contains|continue|data(?==)|define|delete|describe|document|do\\s+over|do|dol|drop|dul|else|end(?:comp|source)?|entryTitle|eval(?:uate)?|exec(?:ute)?|exit|file(?:name)?|fill(?:attrs)?|flist|fnc|function(?:list)?|global|goto|group(?:by)?|headline|headskip|histogram|if|infile|keep|keylabel|keyword|label|layout|leave|legendlabel|length|libname|loadactionset|merge|midpoints|_?null_|name|noobs|nowd|ods|options|or|otherwise|out(?:put)?|over(?:lay)?|plot|print|put|raise|ranexp|rannor|rbreak|retain|return|select|session|sessref|set|source|statgraph|sum|summarize|table|temp|terminate|then\\s+do|then|title\\d?|to|var|when|where|xaxisopts|y2axisopts|yaxisopts)\\b/i,\n lookbehind: true\n }\n Prism.languages.sas = {\n datalines: {\n pattern: /^([ \\t]*)(?:cards|(?:data)?lines);[\\s\\S]+?^[ \\t]*;/im,\n lookbehind: true,\n alias: 'string',\n inside: {\n keyword: {\n pattern: /^(?:cards|(?:data)?lines)/i\n },\n punctuation: /;/\n }\n },\n 'proc-sql': {\n pattern:\n /(^proc\\s+(?:fed)?sql(?:\\s+[\\w|=]+)?;)[\\s\\S]+?(?=^(?:proc\\s+\\w+|data|quit|run);|(?![\\s\\S]))/im,\n lookbehind: true,\n inside: {\n sql: {\n pattern: RegExp(\n /^[ \\t]*(?:select|alter\\s+table|(?:create|describe|drop)\\s+(?:index|table(?:\\s+constraints)?|view)|create\\s+unique\\s+index|insert\\s+into|update)(?:<str>|[^;\"'])+;/.source.replace(\n /<str>/g,\n function () {\n return stringPattern\n }\n ),\n 'im'\n ),\n alias: 'language-sql',\n inside: Prism.languages.sql\n },\n 'global-statements': globalStatements,\n 'sql-statements': {\n pattern:\n /(^|\\s)(?:disconnect\\s+from|begin|commit|exec(?:ute)?|reset|rollback|validate)\\b/i,\n lookbehind: true,\n alias: 'keyword'\n },\n number: number,\n 'numeric-constant': numericConstant,\n punctuation: punctuation,\n string: string\n }\n },\n 'proc-groovy': {\n pattern:\n /(^proc\\s+groovy(?:\\s+[\\w|=]+)?;)[\\s\\S]+?(?=^(?:proc\\s+\\w+|data|quit|run);|(?![\\s\\S]))/im,\n lookbehind: true,\n inside: {\n comment: comment,\n groovy: {\n pattern: RegExp(\n /(^[ \\t]*submit(?:\\s+(?:load|norun|parseonly))?)(?:<str>|[^\"'])+?(?=endsubmit;)/.source.replace(\n /<str>/g,\n function () {\n return stringPattern\n }\n ),\n 'im'\n ),\n lookbehind: true,\n alias: 'language-groovy',\n inside: Prism.languages.groovy\n },\n keyword: keywords,\n 'submit-statement': submitStatement,\n 'global-statements': globalStatements,\n number: number,\n 'numeric-constant': numericConstant,\n punctuation: punctuation,\n string: string\n }\n },\n 'proc-lua': {\n pattern:\n /(^proc\\s+lua(?:\\s+[\\w|=]+)?;)[\\s\\S]+?(?=^(?:proc\\s+\\w+|data|quit|run);|(?![\\s\\S]))/im,\n lookbehind: true,\n inside: {\n comment: comment,\n lua: {\n pattern: RegExp(\n /(^[ \\t]*submit(?:\\s+(?:load|norun|parseonly))?)(?:<str>|[^\"'])+?(?=endsubmit;)/.source.replace(\n /<str>/g,\n function () {\n return stringPattern\n }\n ),\n 'im'\n ),\n lookbehind: true,\n alias: 'language-lua',\n inside: Prism.languages.lua\n },\n keyword: keywords,\n 'submit-statement': submitStatement,\n 'global-statements': globalStatements,\n number: number,\n 'numeric-constant': numericConstant,\n punctuation: punctuation,\n string: string\n }\n },\n 'proc-cas': {\n pattern:\n /(^proc\\s+cas(?:\\s+[\\w|=]+)?;)[\\s\\S]+?(?=^(?:proc\\s+\\w+|quit|data);|(?![\\s\\S]))/im,\n lookbehind: true,\n inside: {\n comment: comment,\n 'statement-var': {\n pattern: /((?:^|\\s)=?)saveresult\\s[^;]+/im,\n lookbehind: true,\n inside: {\n statement: {\n pattern: /^saveresult\\s+\\S+/i,\n inside: {\n keyword: /^(?:saveresult)/i\n }\n },\n rest: args\n }\n },\n 'cas-actions': casActions,\n statement: {\n pattern:\n /((?:^|\\s)=?)(?:default|(?:un)?set|on|output|upload)[^;]+/im,\n lookbehind: true,\n inside: args\n },\n step: step,\n keyword: keywords,\n function: func,\n format: format,\n altformat: altformat,\n 'global-statements': globalStatements,\n number: number,\n 'numeric-constant': numericConstant,\n punctuation: punctuation,\n string: string\n }\n },\n 'proc-args': {\n pattern: RegExp(\n /(^proc\\s+\\w+\\s+)(?!\\s)(?:[^;\"']|<str>)+;/.source.replace(\n /<str>/g,\n function () {\n return stringPattern\n }\n ),\n 'im'\n ),\n lookbehind: true,\n inside: args\n },\n /*Special keywords within macros*/\n 'macro-keyword': macroKeyword,\n 'macro-variable': macroVariable,\n 'macro-string-functions': {\n pattern:\n /((?:^|\\s|=))%(?:BQUOTE|NRBQUOTE|NRQUOTE|NRSTR|QUOTE|STR)\\(.*?(?:[^%]\\))/i,\n lookbehind: true,\n inside: {\n function: {\n pattern: /%(?:BQUOTE|NRBQUOTE|NRQUOTE|NRSTR|QUOTE|STR)/i,\n alias: 'keyword'\n },\n 'macro-keyword': macroKeyword,\n 'macro-variable': macroVariable,\n 'escaped-char': {\n pattern: /%['\"()<>=¬^~;,#]/\n },\n punctuation: punctuation\n }\n },\n 'macro-declaration': {\n pattern: /^%macro[^;]+(?=;)/im,\n inside: {\n keyword: /%macro/i\n }\n },\n 'macro-end': {\n pattern: /^%mend[^;]+(?=;)/im,\n inside: {\n keyword: /%mend/i\n }\n },\n /*%_zscore(headcir, _lhc, _mhc, _shc, headcz, headcpct, _Fheadcz); */\n macro: {\n pattern: /%_\\w+(?=\\()/,\n alias: 'keyword'\n },\n input: {\n pattern: /\\binput\\s[-\\w\\s/*.$&]+;/i,\n inside: {\n input: {\n alias: 'keyword',\n pattern: /^input/i\n },\n comment: comment,\n number: number,\n 'numeric-constant': numericConstant\n }\n },\n 'options-args': {\n pattern: /(^options)[-'\"|/\\\\<>*+=:()\\w\\s]*(?=;)/im,\n lookbehind: true,\n inside: args\n },\n 'cas-actions': casActions,\n comment: comment,\n function: func,\n format: format,\n altformat: altformat,\n 'numeric-constant': numericConstant,\n datetime: {\n // '1jan2013'd, '9:25:19pm't, '18jan2003:9:27:05am'dt\n pattern: RegExp(stringPattern + '(?:dt?|t)'),\n alias: 'number'\n },\n string: string,\n step: step,\n keyword: keywords,\n // In SAS Studio syntax highlighting, these operators are styled like keywords\n 'operator-keyword': {\n pattern: /\\b(?:eq|ge|gt|in|le|lt|ne|not)\\b/i,\n alias: 'operator'\n },\n // Decimal (1.2e23), hexadecimal (0c1x)\n number: number,\n operator: /\\*\\*?|\\|\\|?|!!?|¦¦?|<[>=]?|>[<=]?|[-+\\/=&]|[~¬^]=?/,\n punctuation: punctuation\n }\n })(Prism)\n}\n","// @ts-nocheck\nimport refractorBash from './bash.js'\nshellSession.displayName = 'shell-session'\nshellSession.aliases = ['sh-session', 'shellsession']\n\n/** @type {import('../core.js').Syntax} */\nexport default function shellSession(Prism) {\n Prism.register(refractorBash)\n ;(function (Prism) {\n // CAREFUL!\n // The following patterns are concatenated, so the group referenced by a back reference is non-obvious!\n\n var strings = [\n // normal string\n /\"(?:\\\\[\\s\\S]|\\$\\([^)]+\\)|\\$(?!\\()|`[^`]+`|[^\"\\\\`$])*\"/.source,\n /'[^']*'/.source,\n /\\$'(?:[^'\\\\]|\\\\[\\s\\S])*'/.source,\n // here doc\n // 2 capturing groups\n /<<-?\\s*([\"']?)(\\w+)\\1\\s[\\s\\S]*?[\\r\\n]\\2/.source\n ].join('|')\n Prism.languages['shell-session'] = {\n command: {\n pattern: RegExp(\n // user info\n /^/.source +\n '(?:' +\n // <user> \":\" ( <path> )?\n (/[^\\s@:$#%*!/\\\\]+@[^\\r\\n@:$#%*!/\\\\]+(?::[^\\0-\\x1F$#%*?\"<>:;|]+)?/\n .source +\n '|' +\n // <path>\n // Since the path pattern is quite general, we will require it to start with a special character to\n // prevent false positives.\n /[/~.][^\\0-\\x1F$#%*?\"<>@:;|]*/.source) +\n ')?' +\n // shell symbol\n /[$#%](?=\\s)/.source +\n // bash command\n /(?:[^\\\\\\r\\n \\t'\"<$]|[ \\t](?:(?!#)|#.*$)|\\\\(?:[^\\r]|\\r\\n?)|\\$(?!')|<(?!<)|<<str>>)+/.source.replace(\n /<<str>>/g,\n function () {\n return strings\n }\n ),\n 'm'\n ),\n greedy: true,\n inside: {\n info: {\n // foo@bar:~/files$ exit\n // foo@bar$ exit\n // ~/files$ exit\n pattern: /^[^#$%]+/,\n alias: 'punctuation',\n inside: {\n user: /^[^\\s@:$#%*!/\\\\]+@[^\\r\\n@:$#%*!/\\\\]+/,\n punctuation: /:/,\n path: /[\\s\\S]+/\n }\n },\n bash: {\n pattern: /(^[$#%]\\s*)\\S[\\s\\S]*/,\n lookbehind: true,\n alias: 'language-bash',\n inside: Prism.languages.bash\n },\n 'shell-symbol': {\n pattern: /^[$#%]/,\n alias: 'important'\n }\n }\n },\n output: /.(?:.*(?:[\\r\\n]|.$))*/\n }\n Prism.languages['sh-session'] = Prism.languages['shellsession'] =\n Prism.languages['shell-session']\n })(Prism)\n}\n","// @ts-nocheck\nsmali.displayName = 'smali'\nsmali.aliases = []\n\n/** @type {import('../core.js').Syntax} */\nexport default function smali(Prism) {\n // Test files for the parser itself:\n // https://github.com/JesusFreke/smali/tree/master/smali/src/test/resources/LexerTest\n\n Prism.languages.smali = {\n comment: /#.*/,\n string: {\n pattern: /\"(?:[^\\r\\n\\\\\"]|\\\\.)*\"|'(?:[^\\r\\n\\\\']|\\\\(?:.|u[\\da-fA-F]{4}))'/,\n greedy: true\n },\n 'class-name': {\n pattern:\n /(^|[^L])L(?:(?:\\w+|`[^`\\r\\n]*`)\\/)*(?:[\\w$]+|`[^`\\r\\n]*`)(?=\\s*;)/,\n lookbehind: true,\n inside: {\n 'class-name': {\n pattern: /(^L|\\/)(?:[\\w$]+|`[^`\\r\\n]*`)$/,\n lookbehind: true\n },\n namespace: {\n pattern: /^(L)(?:(?:\\w+|`[^`\\r\\n]*`)\\/)+/,\n lookbehind: true,\n inside: {\n punctuation: /\\//\n }\n },\n builtin: /^L/\n }\n },\n builtin: [\n {\n // Reference: https://github.com/JesusFreke/smali/wiki/TypesMethodsAndFields#types\n pattern: /([();\\[])[BCDFIJSVZ]+/,\n lookbehind: true\n },\n {\n // e.g. .field mWifiOnUid:I\n pattern: /([\\w$>]:)[BCDFIJSVZ]/,\n lookbehind: true\n }\n ],\n keyword: [\n {\n pattern: /(\\.end\\s+)[\\w-]+/,\n lookbehind: true\n },\n {\n pattern: /(^|[^\\w.-])\\.(?!\\d)[\\w-]+/,\n lookbehind: true\n },\n {\n pattern:\n /(^|[^\\w.-])(?:abstract|annotation|bridge|constructor|enum|final|interface|private|protected|public|runtime|static|synthetic|system|transient)(?![\\w.-])/,\n lookbehind: true\n }\n ],\n function: {\n pattern: /(^|[^\\w.-])(?:\\w+|<[\\w$-]+>)(?=\\()/,\n lookbehind: true\n },\n field: {\n pattern: /[\\w$]+(?=:)/,\n alias: 'variable'\n },\n register: {\n pattern: /(^|[^\\w.-])[vp]\\d(?![\\w.-])/,\n lookbehind: true,\n alias: 'variable'\n },\n boolean: {\n pattern: /(^|[^\\w.-])(?:false|true)(?![\\w.-])/,\n lookbehind: true\n },\n number: {\n pattern:\n /(^|[^/\\w.-])-?(?:NAN|INFINITY|0x(?:[\\dA-F]+(?:\\.[\\dA-F]*)?|\\.[\\dA-F]+)(?:p[+-]?[\\dA-F]+)?|(?:\\d+(?:\\.\\d*)?|\\.\\d+)(?:e[+-]?\\d+)?)[dflst]?(?![\\w.-])/i,\n lookbehind: true\n },\n label: {\n pattern: /(:)\\w+/,\n lookbehind: true,\n alias: 'property'\n },\n operator: /->|\\.\\.|[\\[=]/,\n punctuation: /[{}(),;:]/\n }\n}\n","// @ts-nocheck\nsmalltalk.displayName = 'smalltalk'\nsmalltalk.aliases = []\n\n/** @type {import('../core.js').Syntax} */\nexport default function smalltalk(Prism) {\n Prism.languages.smalltalk = {\n comment: {\n pattern: /\"(?:\"\"|[^\"])*\"/,\n greedy: true\n },\n char: {\n pattern: /\\$./,\n greedy: true\n },\n string: {\n pattern: /'(?:''|[^'])*'/,\n greedy: true\n },\n symbol: /#[\\da-z]+|#(?:-|([+\\/\\\\*~<>=@%|&?!])\\1?)|#(?=\\()/i,\n 'block-arguments': {\n pattern: /(\\[\\s*):[^\\[|]*\\|/,\n lookbehind: true,\n inside: {\n variable: /:[\\da-z]+/i,\n punctuation: /\\|/\n }\n },\n 'temporary-variables': {\n pattern: /\\|[^|]+\\|/,\n inside: {\n variable: /[\\da-z]+/i,\n punctuation: /\\|/\n }\n },\n keyword: /\\b(?:new|nil|self|super)\\b/,\n boolean: /\\b(?:false|true)\\b/,\n number: [\n /\\d+r-?[\\dA-Z]+(?:\\.[\\dA-Z]+)?(?:e-?\\d+)?/,\n /\\b\\d+(?:\\.\\d+)?(?:e-?\\d+)?/\n ],\n operator: /[<=]=?|:=|~[~=]|\\/\\/?|\\\\\\\\|>[>=]?|[!^+\\-*&|,@]/,\n punctuation: /[.;:?\\[\\](){}]/\n }\n}\n","// @ts-nocheck\nimport refractorMarkupTemplating from './markup-templating.js'\nsmarty.displayName = 'smarty'\nsmarty.aliases = []\n\n/** @type {import('../core.js').Syntax} */\nexport default function smarty(Prism) {\n Prism.register(refractorMarkupTemplating)\n ;(function (Prism) {\n Prism.languages.smarty = {\n comment: {\n pattern: /^\\{\\*[\\s\\S]*?\\*\\}/,\n greedy: true\n },\n 'embedded-php': {\n pattern: /^\\{php\\}[\\s\\S]*?\\{\\/php\\}/,\n greedy: true,\n inside: {\n smarty: {\n pattern: /^\\{php\\}|\\{\\/php\\}$/,\n inside: null // see below\n },\n\n php: {\n pattern: /[\\s\\S]+/,\n alias: 'language-php',\n inside: Prism.languages.php\n }\n }\n },\n string: [\n {\n pattern: /\"(?:\\\\.|[^\"\\\\\\r\\n])*\"/,\n greedy: true,\n inside: {\n interpolation: {\n pattern: /\\{[^{}]*\\}|`[^`]*`/,\n inside: {\n 'interpolation-punctuation': {\n pattern: /^[{`]|[`}]$/,\n alias: 'punctuation'\n },\n expression: {\n pattern: /[\\s\\S]+/,\n inside: null // see below\n }\n }\n },\n\n variable: /\\$\\w+/\n }\n },\n {\n pattern: /'(?:\\\\.|[^'\\\\\\r\\n])*'/,\n greedy: true\n }\n ],\n keyword: {\n pattern: /(^\\{\\/?)[a-z_]\\w*\\b(?!\\()/i,\n lookbehind: true,\n greedy: true\n },\n delimiter: {\n pattern: /^\\{\\/?|\\}$/,\n greedy: true,\n alias: 'punctuation'\n },\n number: /\\b0x[\\dA-Fa-f]+|(?:\\b\\d+(?:\\.\\d*)?|\\B\\.\\d+)(?:[Ee][-+]?\\d+)?/,\n variable: [\n /\\$(?!\\d)\\w+/,\n /#(?!\\d)\\w+#/,\n {\n pattern: /(\\.|->|\\w\\s*=)(?!\\d)\\w+\\b(?!\\()/,\n lookbehind: true\n },\n {\n pattern: /(\\[)(?!\\d)\\w+(?=\\])/,\n lookbehind: true\n }\n ],\n function: {\n pattern: /(\\|\\s*)@?[a-z_]\\w*|\\b[a-z_]\\w*(?=\\()/i,\n lookbehind: true\n },\n 'attr-name': /\\b[a-z_]\\w*(?=\\s*=)/i,\n boolean: /\\b(?:false|no|off|on|true|yes)\\b/,\n punctuation: /[\\[\\](){}.,:`]|->/,\n operator: [\n /[+\\-*\\/%]|==?=?|[!<>]=?|&&|\\|\\|?/,\n /\\bis\\s+(?:not\\s+)?(?:div|even|odd)(?:\\s+by)?\\b/,\n /\\b(?:and|eq|gt?e|gt|lt?e|lt|mod|neq?|not|or)\\b/\n ]\n }\n Prism.languages.smarty['embedded-php'].inside.smarty.inside =\n Prism.languages.smarty\n Prism.languages.smarty.string[0].inside.interpolation.inside.expression.inside =\n Prism.languages.smarty\n var string = /\"(?:\\\\.|[^\"\\\\\\r\\n])*\"|'(?:\\\\.|[^'\\\\\\r\\n])*'/\n var smartyPattern = RegExp(\n // comments\n /\\{\\*[\\s\\S]*?\\*\\}/.source +\n '|' +\n // php tags\n /\\{php\\}[\\s\\S]*?\\{\\/php\\}/.source +\n '|' +\n // smarty blocks\n /\\{(?:[^{}\"']|<str>|\\{(?:[^{}\"']|<str>|\\{(?:[^{}\"']|<str>)*\\})*\\})*\\}/.source.replace(\n /<str>/g,\n function () {\n return string.source\n }\n ),\n 'g'\n )\n\n // Tokenize all inline Smarty expressions\n Prism.hooks.add('before-tokenize', function (env) {\n var smartyLiteralStart = '{literal}'\n var smartyLiteralEnd = '{/literal}'\n var smartyLiteralMode = false\n Prism.languages['markup-templating'].buildPlaceholders(\n env,\n 'smarty',\n smartyPattern,\n function (match) {\n // Smarty tags inside {literal} block are ignored\n if (match === smartyLiteralEnd) {\n smartyLiteralMode = false\n }\n if (!smartyLiteralMode) {\n if (match === smartyLiteralStart) {\n smartyLiteralMode = true\n }\n return true\n }\n return false\n }\n )\n })\n\n // Re-insert the tokens after tokenizing\n Prism.hooks.add('after-tokenize', function (env) {\n Prism.languages['markup-templating'].tokenizePlaceholders(env, 'smarty')\n })\n })(Prism)\n}\n","// @ts-nocheck\nsml.displayName = 'sml'\nsml.aliases = ['smlnj']\n\n/** @type {import('../core.js').Syntax} */\nexport default function sml(Prism) {\n // https://smlfamily.github.io/sml97-defn.pdf\n // https://people.mpi-sws.org/~rossberg/sml.html\n ;(function (Prism) {\n var keywords =\n /\\b(?:abstype|and|andalso|as|case|datatype|do|else|end|eqtype|exception|fn|fun|functor|handle|if|in|include|infix|infixr|let|local|nonfix|of|op|open|orelse|raise|rec|sharing|sig|signature|struct|structure|then|type|val|where|while|with|withtype)\\b/i\n Prism.languages.sml = {\n // allow one level of nesting\n comment:\n /\\(\\*(?:[^*(]|\\*(?!\\))|\\((?!\\*)|\\(\\*(?:[^*(]|\\*(?!\\))|\\((?!\\*))*\\*\\))*\\*\\)/,\n string: {\n pattern: /#?\"(?:[^\"\\\\]|\\\\.)*\"/,\n greedy: true\n },\n 'class-name': [\n {\n // This is only an approximation since the real grammar is context-free\n //\n // Why the main loop so complex?\n // The main loop is approximately the same as /(?:\\s*(?:[*,]|->)\\s*<TERMINAL>)*/ which is, obviously, a lot\n // simpler. The difference is that if a comma is the last iteration of the loop, then the terminal must be\n // followed by a long identifier.\n pattern: RegExp(\n /((?:^|[^:]):\\s*)<TERMINAL>(?:\\s*(?:(?:\\*|->)\\s*<TERMINAL>|,\\s*<TERMINAL>(?:(?=<NOT-LAST>)|(?!<NOT-LAST>)\\s+<LONG-ID>)))*/.source\n .replace(/<NOT-LAST>/g, function () {\n return /\\s*(?:[*,]|->)/.source\n })\n .replace(/<TERMINAL>/g, function () {\n return /(?:'[\\w']*|<LONG-ID>|\\((?:[^()]|\\([^()]*\\))*\\)|\\{(?:[^{}]|\\{[^{}]*\\})*\\})(?:\\s+<LONG-ID>)*/\n .source\n })\n .replace(/<LONG-ID>/g, function () {\n return /(?!<KEYWORD>)[a-z\\d_][\\w'.]*/.source\n })\n .replace(/<KEYWORD>/g, function () {\n return keywords.source\n }),\n 'i'\n ),\n lookbehind: true,\n greedy: true,\n inside: null // see below\n },\n {\n pattern:\n /((?:^|[^\\w'])(?:datatype|exception|functor|signature|structure|type)\\s+)[a-z_][\\w'.]*/i,\n lookbehind: true\n }\n ],\n function: {\n pattern: /((?:^|[^\\w'])fun\\s+)[a-z_][\\w'.]*/i,\n lookbehind: true\n },\n keyword: keywords,\n variable: {\n pattern: /(^|[^\\w'])'[\\w']*/,\n lookbehind: true\n },\n number: /~?\\b(?:\\d+(?:\\.\\d+)?(?:e~?\\d+)?|0x[\\da-f]+)\\b/i,\n word: {\n pattern: /\\b0w(?:\\d+|x[\\da-f]+)\\b/i,\n alias: 'constant'\n },\n boolean: /\\b(?:false|true)\\b/i,\n operator: /\\.\\.\\.|:[>=:]|=>?|->|[<>]=?|[!+\\-*/^#|@~]/,\n punctuation: /[(){}\\[\\].:,;]/\n }\n Prism.languages.sml['class-name'][0].inside = Prism.languages.sml\n Prism.languages.smlnj = Prism.languages.sml\n })(Prism)\n}\n","// @ts-nocheck\nimport refractorClike from './clike.js'\nsolidity.displayName = 'solidity'\nsolidity.aliases = ['sol']\n\n/** @type {import('../core.js').Syntax} */\nexport default function solidity(Prism) {\n Prism.register(refractorClike)\n Prism.languages.solidity = Prism.languages.extend('clike', {\n 'class-name': {\n pattern:\n /(\\b(?:contract|enum|interface|library|new|struct|using)\\s+)(?!\\d)[\\w$]+/,\n lookbehind: true\n },\n keyword:\n /\\b(?:_|anonymous|as|assembly|assert|break|calldata|case|constant|constructor|continue|contract|default|delete|do|else|emit|enum|event|external|for|from|function|if|import|indexed|inherited|interface|internal|is|let|library|mapping|memory|modifier|new|payable|pragma|private|public|pure|require|returns?|revert|selfdestruct|solidity|storage|struct|suicide|switch|this|throw|using|var|view|while)\\b/,\n operator: /=>|->|:=|=:|\\*\\*|\\+\\+|--|\\|\\||&&|<<=?|>>=?|[-+*/%^&|<>!=]=?|[~?]/\n })\n Prism.languages.insertBefore('solidity', 'keyword', {\n builtin:\n /\\b(?:address|bool|byte|u?int(?:8|16|24|32|40|48|56|64|72|80|88|96|104|112|120|128|136|144|152|160|168|176|184|192|200|208|216|224|232|240|248|256)?|string|bytes(?:[1-9]|[12]\\d|3[0-2])?)\\b/\n })\n Prism.languages.insertBefore('solidity', 'number', {\n version: {\n pattern: /([<>]=?|\\^)\\d+\\.\\d+\\.\\d+\\b/,\n lookbehind: true,\n alias: 'number'\n }\n })\n Prism.languages.sol = Prism.languages.solidity\n}\n","// @ts-nocheck\nsolutionFile.displayName = 'solution-file'\nsolutionFile.aliases = ['sln']\n\n/** @type {import('../core.js').Syntax} */\nexport default function solutionFile(Prism) {\n ;(function (Prism) {\n var guid = {\n // https://en.wikipedia.org/wiki/Universally_unique_identifier#Format\n pattern: /\\{[\\da-f]{8}-[\\da-f]{4}-[\\da-f]{4}-[\\da-f]{4}-[\\da-f]{12}\\}/i,\n alias: 'constant',\n inside: {\n punctuation: /[{}]/\n }\n }\n Prism.languages['solution-file'] = {\n comment: {\n pattern: /#.*/,\n greedy: true\n },\n string: {\n pattern: /\"[^\"\\r\\n]*\"|'[^'\\r\\n]*'/,\n greedy: true,\n inside: {\n guid: guid\n }\n },\n object: {\n // Foo\n // Bar(\"abs\") = 9\n // EndBar\n // Prop = TRUE\n // EndFoo\n pattern:\n /^([ \\t]*)(?:([A-Z]\\w*)\\b(?=.*(?:\\r\\n?|\\n)(?:\\1[ \\t].*(?:\\r\\n?|\\n))*\\1End\\2(?=[ \\t]*$))|End[A-Z]\\w*(?=[ \\t]*$))/m,\n lookbehind: true,\n greedy: true,\n alias: 'keyword'\n },\n property: {\n pattern: /^([ \\t]*)(?!\\s)[^\\r\\n\"#=()]*[^\\s\"#=()](?=\\s*=)/m,\n lookbehind: true,\n inside: {\n guid: guid\n }\n },\n guid: guid,\n number: /\\b\\d+(?:\\.\\d+)*\\b/,\n boolean: /\\b(?:FALSE|TRUE)\\b/,\n operator: /=/,\n punctuation: /[(),]/\n }\n Prism.languages['sln'] = Prism.languages['solution-file']\n })(Prism)\n}\n","// @ts-nocheck\nimport refractorMarkupTemplating from './markup-templating.js'\nsoy.displayName = 'soy'\nsoy.aliases = []\n\n/** @type {import('../core.js').Syntax} */\nexport default function soy(Prism) {\n Prism.register(refractorMarkupTemplating)\n ;(function (Prism) {\n var stringPattern = /([\"'])(?:\\\\(?:\\r\\n|[\\s\\S])|(?!\\1)[^\\\\\\r\\n])*\\1/\n var numberPattern = /\\b\\d+(?:\\.\\d+)?(?:[eE][+-]?\\d+)?\\b|\\b0x[\\dA-F]+\\b/\n Prism.languages.soy = {\n comment: [\n /\\/\\*[\\s\\S]*?\\*\\//,\n {\n pattern: /(\\s)\\/\\/.*/,\n lookbehind: true,\n greedy: true\n }\n ],\n 'command-arg': {\n pattern:\n /(\\{+\\/?\\s*(?:alias|call|delcall|delpackage|deltemplate|namespace|template)\\s+)\\.?[\\w.]+/,\n lookbehind: true,\n alias: 'string',\n inside: {\n punctuation: /\\./\n }\n },\n parameter: {\n pattern: /(\\{+\\/?\\s*@?param\\??\\s+)\\.?[\\w.]+/,\n lookbehind: true,\n alias: 'variable'\n },\n keyword: [\n {\n pattern:\n /(\\{+\\/?[^\\S\\r\\n]*)(?:\\\\[nrt]|alias|call|case|css|default|delcall|delpackage|deltemplate|else(?:if)?|fallbackmsg|for(?:each)?|if(?:empty)?|lb|let|literal|msg|namespace|nil|@?param\\??|rb|sp|switch|template|xid)/,\n lookbehind: true\n },\n /\\b(?:any|as|attributes|bool|css|float|html|in|int|js|list|map|null|number|string|uri)\\b/\n ],\n delimiter: {\n pattern: /^\\{+\\/?|\\/?\\}+$/,\n alias: 'punctuation'\n },\n property: /\\w+(?==)/,\n variable: {\n pattern: /\\$[^\\W\\d]\\w*(?:\\??(?:\\.\\w+|\\[[^\\]]+\\]))*/,\n inside: {\n string: {\n pattern: stringPattern,\n greedy: true\n },\n number: numberPattern,\n punctuation: /[\\[\\].?]/\n }\n },\n string: {\n pattern: stringPattern,\n greedy: true\n },\n function: [\n /\\w+(?=\\()/,\n {\n pattern: /(\\|[^\\S\\r\\n]*)\\w+/,\n lookbehind: true\n }\n ],\n boolean: /\\b(?:false|true)\\b/,\n number: numberPattern,\n operator: /\\?:?|<=?|>=?|==?|!=|[+*/%-]|\\b(?:and|not|or)\\b/,\n punctuation: /[{}()\\[\\]|.,:]/\n }\n\n // Tokenize all inline Soy expressions\n Prism.hooks.add('before-tokenize', function (env) {\n var soyPattern = /\\{\\{.+?\\}\\}|\\{.+?\\}|\\s\\/\\/.*|\\/\\*[\\s\\S]*?\\*\\//g\n var soyLitteralStart = '{literal}'\n var soyLitteralEnd = '{/literal}'\n var soyLitteralMode = false\n Prism.languages['markup-templating'].buildPlaceholders(\n env,\n 'soy',\n soyPattern,\n function (match) {\n // Soy tags inside {literal} block are ignored\n if (match === soyLitteralEnd) {\n soyLitteralMode = false\n }\n if (!soyLitteralMode) {\n if (match === soyLitteralStart) {\n soyLitteralMode = true\n }\n return true\n }\n return false\n }\n )\n })\n\n // Re-insert the tokens after tokenizing\n Prism.hooks.add('after-tokenize', function (env) {\n Prism.languages['markup-templating'].tokenizePlaceholders(env, 'soy')\n })\n })(Prism)\n}\n","// @ts-nocheck\nturtle.displayName = 'turtle'\nturtle.aliases = ['trig']\n\n/** @type {import('../core.js').Syntax} */\nexport default function turtle(Prism) {\n Prism.languages.turtle = {\n comment: {\n pattern: /#.*/,\n greedy: true\n },\n 'multiline-string': {\n pattern:\n /\"\"\"(?:(?:\"\"?)?(?:[^\"\\\\]|\\\\.))*\"\"\"|'''(?:(?:''?)?(?:[^'\\\\]|\\\\.))*'''/,\n greedy: true,\n alias: 'string',\n inside: {\n comment: /#.*/\n }\n },\n string: {\n pattern: /\"(?:[^\\\\\"\\r\\n]|\\\\.)*\"|'(?:[^\\\\'\\r\\n]|\\\\.)*'/,\n greedy: true\n },\n url: {\n pattern:\n /<(?:[^\\x00-\\x20<>\"{}|^`\\\\]|\\\\(?:u[\\da-fA-F]{4}|U[\\da-fA-F]{8}))*>/,\n greedy: true,\n inside: {\n punctuation: /[<>]/\n }\n },\n function: {\n pattern:\n /(?:(?![-.\\d\\xB7])[-.\\w\\xB7\\xC0-\\uFFFD]+)?:(?:(?![-.])(?:[-.:\\w\\xC0-\\uFFFD]|%[\\da-f]{2}|\\\\.)+)?/i,\n inside: {\n 'local-name': {\n pattern: /([^:]*:)[\\s\\S]+/,\n lookbehind: true\n },\n prefix: {\n pattern: /[\\s\\S]+/,\n inside: {\n punctuation: /:/\n }\n }\n }\n },\n number: /[+-]?\\b\\d+(?:\\.\\d*)?(?:e[+-]?\\d+)?/i,\n punctuation: /[{}.,;()[\\]]|\\^\\^/,\n boolean: /\\b(?:false|true)\\b/,\n keyword: [/(?:\\ba|@prefix|@base)\\b|=/, /\\b(?:base|graph|prefix)\\b/i],\n tag: {\n pattern: /@[a-z]+(?:-[a-z\\d]+)*/i,\n inside: {\n punctuation: /@/\n }\n }\n }\n Prism.languages.trig = Prism.languages['turtle']\n}\n","// @ts-nocheck\nimport refractorTurtle from './turtle.js'\nsparql.displayName = 'sparql'\nsparql.aliases = ['rq']\n\n/** @type {import('../core.js').Syntax} */\nexport default function sparql(Prism) {\n Prism.register(refractorTurtle)\n Prism.languages.sparql = Prism.languages.extend('turtle', {\n boolean: /\\b(?:false|true)\\b/i,\n variable: {\n pattern: /[?$]\\w+/,\n greedy: true\n }\n })\n Prism.languages.insertBefore('sparql', 'punctuation', {\n keyword: [\n /\\b(?:A|ADD|ALL|AS|ASC|ASK|BNODE|BY|CLEAR|CONSTRUCT|COPY|CREATE|DATA|DEFAULT|DELETE|DESC|DESCRIBE|DISTINCT|DROP|EXISTS|FILTER|FROM|GROUP|HAVING|INSERT|INTO|LIMIT|LOAD|MINUS|MOVE|NAMED|NOT|NOW|OFFSET|OPTIONAL|ORDER|RAND|REDUCED|SELECT|SEPARATOR|SERVICE|SILENT|STRUUID|UNION|USING|UUID|VALUES|WHERE)\\b/i,\n /\\b(?:ABS|AVG|BIND|BOUND|CEIL|COALESCE|CONCAT|CONTAINS|COUNT|DATATYPE|DAY|ENCODE_FOR_URI|FLOOR|GROUP_CONCAT|HOURS|IF|IRI|isBLANK|isIRI|isLITERAL|isNUMERIC|isURI|LANG|LANGMATCHES|LCASE|MAX|MD5|MIN|MINUTES|MONTH|REGEX|REPLACE|ROUND|sameTerm|SAMPLE|SECONDS|SHA1|SHA256|SHA384|SHA512|STR|STRAFTER|STRBEFORE|STRDT|STRENDS|STRLANG|STRLEN|STRSTARTS|SUBSTR|SUM|TIMEZONE|TZ|UCASE|URI|YEAR)\\b(?=\\s*\\()/i,\n /\\b(?:BASE|GRAPH|PREFIX)\\b/i\n ]\n })\n Prism.languages.rq = Prism.languages.sparql\n}\n","// @ts-nocheck\nsplunkSpl.displayName = 'splunk-spl'\nsplunkSpl.aliases = []\n\n/** @type {import('../core.js').Syntax} */\nexport default function splunkSpl(Prism) {\n Prism.languages['splunk-spl'] = {\n comment: /`comment\\(\"(?:\\\\.|[^\\\\\"])*\"\\)`/,\n string: {\n pattern: /\"(?:\\\\.|[^\\\\\"])*\"/,\n greedy: true\n },\n // https://docs.splunk.com/Documentation/Splunk/7.3.0/SearchReference/ListOfSearchCommands\n keyword:\n /\\b(?:abstract|accum|addcoltotals|addinfo|addtotals|analyzefields|anomalies|anomalousvalue|anomalydetection|append|appendcols|appendcsv|appendlookup|appendpipe|arules|associate|audit|autoregress|bin|bucket|bucketdir|chart|cluster|cofilter|collect|concurrency|contingency|convert|correlate|datamodel|dbinspect|dedup|delete|delta|diff|erex|eval|eventcount|eventstats|extract|fieldformat|fields|fieldsummary|filldown|fillnull|findtypes|folderize|foreach|format|from|gauge|gentimes|geom|geomfilter|geostats|head|highlight|history|iconify|input|inputcsv|inputlookup|iplocation|join|kmeans|kv|kvform|loadjob|localize|localop|lookup|makecontinuous|makemv|makeresults|map|mcollect|metadata|metasearch|meventcollect|mstats|multikv|multisearch|mvcombine|mvexpand|nomv|outlier|outputcsv|outputlookup|outputtext|overlap|pivot|predict|rangemap|rare|regex|relevancy|reltime|rename|replace|rest|return|reverse|rex|rtorder|run|savedsearch|script|scrub|search|searchtxn|selfjoin|sendemail|set|setfields|sichart|sirare|sistats|sitimechart|sitop|sort|spath|stats|strcat|streamstats|table|tags|tail|timechart|timewrap|top|transaction|transpose|trendline|tscollect|tstats|typeahead|typelearner|typer|union|uniq|untable|where|x11|xmlkv|xmlunescape|xpath|xyseries)\\b/i,\n 'operator-word': {\n pattern: /\\b(?:and|as|by|not|or|xor)\\b/i,\n alias: 'operator'\n },\n function: /\\b\\w+(?=\\s*\\()/,\n property: /\\b\\w+(?=\\s*=(?!=))/,\n date: {\n // MM/DD/YYYY(:HH:MM:SS)?\n pattern: /\\b\\d{1,2}\\/\\d{1,2}\\/\\d{1,4}(?:(?::\\d{1,2}){3})?\\b/,\n alias: 'number'\n },\n number: /\\b\\d+(?:\\.\\d+)?\\b/,\n boolean: /\\b(?:f|false|t|true)\\b/i,\n operator: /[<>=]=?|[-+*/%|]/,\n punctuation: /[()[\\],]/\n }\n}\n","// @ts-nocheck\nimport refractorClike from './clike.js'\nsqf.displayName = 'sqf'\nsqf.aliases = []\n\n/** @type {import('../core.js').Syntax} */\nexport default function sqf(Prism) {\n Prism.register(refractorClike)\n Prism.languages.sqf = Prism.languages.extend('clike', {\n string: {\n pattern: /\"(?:(?:\"\")?[^\"])*\"(?!\")|'(?:[^'])*'/,\n greedy: true\n },\n keyword:\n /\\b(?:breakOut|breakTo|call|case|catch|default|do|echo|else|execFSM|execVM|exitWith|for|forEach|forEachMember|forEachMemberAgent|forEachMemberTeam|from|goto|if|nil|preprocessFile|preprocessFileLineNumbers|private|scopeName|spawn|step|switch|then|throw|to|try|while|with)\\b/i,\n boolean: /\\b(?:false|true)\\b/i,\n function:\n /\\b(?:abs|accTime|acos|action|actionIDs|actionKeys|actionKeysImages|actionKeysNames|actionKeysNamesArray|actionName|actionParams|activateAddons|activatedAddons|activateKey|add3DENConnection|add3DENEventHandler|add3DENLayer|addAction|addBackpack|addBackpackCargo|addBackpackCargoGlobal|addBackpackGlobal|addCamShake|addCuratorAddons|addCuratorCameraArea|addCuratorEditableObjects|addCuratorEditingArea|addCuratorPoints|addEditorObject|addEventHandler|addForce|addForceGeneratorRTD|addGoggles|addGroupIcon|addHandgunItem|addHeadgear|addItem|addItemCargo|addItemCargoGlobal|addItemPool|addItemToBackpack|addItemToUniform|addItemToVest|addLiveStats|addMagazine|addMagazineAmmoCargo|addMagazineCargo|addMagazineCargoGlobal|addMagazineGlobal|addMagazinePool|addMagazines|addMagazineTurret|addMenu|addMenuItem|addMissionEventHandler|addMPEventHandler|addMusicEventHandler|addOwnedMine|addPlayerScores|addPrimaryWeaponItem|addPublicVariableEventHandler|addRating|addResources|addScore|addScoreSide|addSecondaryWeaponItem|addSwitchableUnit|addTeamMember|addToRemainsCollector|addTorque|addUniform|addVehicle|addVest|addWaypoint|addWeapon|addWeaponCargo|addWeaponCargoGlobal|addWeaponGlobal|addWeaponItem|addWeaponPool|addWeaponTurret|admin|agent|agents|AGLToASL|aimedAtTarget|aimPos|airDensityCurveRTD|airDensityRTD|airplaneThrottle|airportSide|AISFinishHeal|alive|all3DENEntities|allAirports|allControls|allCurators|allCutLayers|allDead|allDeadMen|allDisplays|allGroups|allMapMarkers|allMines|allMissionObjects|allow3DMode|allowCrewInImmobile|allowCuratorLogicIgnoreAreas|allowDamage|allowDammage|allowFileOperations|allowFleeing|allowGetIn|allowSprint|allPlayers|allSimpleObjects|allSites|allTurrets|allUnits|allUnitsUAV|allVariables|ammo|ammoOnPylon|animate|animateBay|animateDoor|animatePylon|animateSource|animationNames|animationPhase|animationSourcePhase|animationState|append|apply|armoryPoints|arrayIntersect|asin|ASLToAGL|ASLToATL|assert|assignAsCargo|assignAsCargoIndex|assignAsCommander|assignAsDriver|assignAsGunner|assignAsTurret|assignCurator|assignedCargo|assignedCommander|assignedDriver|assignedGunner|assignedItems|assignedTarget|assignedTeam|assignedVehicle|assignedVehicleRole|assignItem|assignTeam|assignToAirport|atan|atan2|atg|ATLToASL|attachedObject|attachedObjects|attachedTo|attachObject|attachTo|attackEnabled|backpack|backpackCargo|backpackContainer|backpackItems|backpackMagazines|backpackSpaceFor|behaviour|benchmark|binocular|blufor|boundingBox|boundingBoxReal|boundingCenter|briefingName|buildingExit|buildingPos|buldozer_EnableRoadDiag|buldozer_IsEnabledRoadDiag|buldozer_LoadNewRoads|buldozer_reloadOperMap|buttonAction|buttonSetAction|cadetMode|callExtension|camCommand|camCommit|camCommitPrepared|camCommitted|camConstuctionSetParams|camCreate|camDestroy|cameraEffect|cameraEffectEnableHUD|cameraInterest|cameraOn|cameraView|campaignConfigFile|camPreload|camPreloaded|camPrepareBank|camPrepareDir|camPrepareDive|camPrepareFocus|camPrepareFov|camPrepareFovRange|camPreparePos|camPrepareRelPos|camPrepareTarget|camSetBank|camSetDir|camSetDive|camSetFocus|camSetFov|camSetFovRange|camSetPos|camSetRelPos|camSetTarget|camTarget|camUseNVG|canAdd|canAddItemToBackpack|canAddItemToUniform|canAddItemToVest|cancelSimpleTaskDestination|canFire|canMove|canSlingLoad|canStand|canSuspend|canTriggerDynamicSimulation|canUnloadInCombat|canVehicleCargo|captive|captiveNum|cbChecked|cbSetChecked|ceil|channelEnabled|cheatsEnabled|checkAIFeature|checkVisibility|civilian|className|clear3DENAttribute|clear3DENInventory|clearAllItemsFromBackpack|clearBackpackCargo|clearBackpackCargoGlobal|clearForcesRTD|clearGroupIcons|clearItemCargo|clearItemCargoGlobal|clearItemPool|clearMagazineCargo|clearMagazineCargoGlobal|clearMagazinePool|clearOverlay|clearRadio|clearVehicleInit|clearWeaponCargo|clearWeaponCargoGlobal|clearWeaponPool|clientOwner|closeDialog|closeDisplay|closeOverlay|collapseObjectTree|collect3DENHistory|collectiveRTD|combatMode|commandArtilleryFire|commandChat|commander|commandFire|commandFollow|commandFSM|commandGetOut|commandingMenu|commandMove|commandRadio|commandStop|commandSuppressiveFire|commandTarget|commandWatch|comment|commitOverlay|compile|compileFinal|completedFSM|composeText|configClasses|configFile|configHierarchy|configName|configNull|configProperties|configSourceAddonList|configSourceMod|configSourceModList|confirmSensorTarget|connectTerminalToUAV|controlNull|controlsGroupCtrl|copyFromClipboard|copyToClipboard|copyWaypoints|cos|count|countEnemy|countFriendly|countSide|countType|countUnknown|create3DENComposition|create3DENEntity|createAgent|createCenter|createDialog|createDiaryLink|createDiaryRecord|createDiarySubject|createDisplay|createGearDialog|createGroup|createGuardedPoint|createLocation|createMarker|createMarkerLocal|createMenu|createMine|createMissionDisplay|createMPCampaignDisplay|createSimpleObject|createSimpleTask|createSite|createSoundSource|createTask|createTeam|createTrigger|createUnit|createVehicle|createVehicleCrew|createVehicleLocal|crew|ctAddHeader|ctAddRow|ctClear|ctCurSel|ctData|ctFindHeaderRows|ctFindRowHeader|ctHeaderControls|ctHeaderCount|ctRemoveHeaders|ctRemoveRows|ctrlActivate|ctrlAddEventHandler|ctrlAngle|ctrlAutoScrollDelay|ctrlAutoScrollRewind|ctrlAutoScrollSpeed|ctrlChecked|ctrlClassName|ctrlCommit|ctrlCommitted|ctrlCreate|ctrlDelete|ctrlEnable|ctrlEnabled|ctrlFade|ctrlHTMLLoaded|ctrlIDC|ctrlIDD|ctrlMapAnimAdd|ctrlMapAnimClear|ctrlMapAnimCommit|ctrlMapAnimDone|ctrlMapCursor|ctrlMapMouseOver|ctrlMapScale|ctrlMapScreenToWorld|ctrlMapWorldToScreen|ctrlModel|ctrlModelDirAndUp|ctrlModelScale|ctrlParent|ctrlParentControlsGroup|ctrlPosition|ctrlRemoveAllEventHandlers|ctrlRemoveEventHandler|ctrlScale|ctrlSetActiveColor|ctrlSetAngle|ctrlSetAutoScrollDelay|ctrlSetAutoScrollRewind|ctrlSetAutoScrollSpeed|ctrlSetBackgroundColor|ctrlSetChecked|ctrlSetDisabledColor|ctrlSetEventHandler|ctrlSetFade|ctrlSetFocus|ctrlSetFont|ctrlSetFontH1|ctrlSetFontH1B|ctrlSetFontH2|ctrlSetFontH2B|ctrlSetFontH3|ctrlSetFontH3B|ctrlSetFontH4|ctrlSetFontH4B|ctrlSetFontH5|ctrlSetFontH5B|ctrlSetFontH6|ctrlSetFontH6B|ctrlSetFontHeight|ctrlSetFontHeightH1|ctrlSetFontHeightH2|ctrlSetFontHeightH3|ctrlSetFontHeightH4|ctrlSetFontHeightH5|ctrlSetFontHeightH6|ctrlSetFontHeightSecondary|ctrlSetFontP|ctrlSetFontPB|ctrlSetFontSecondary|ctrlSetForegroundColor|ctrlSetModel|ctrlSetModelDirAndUp|ctrlSetModelScale|ctrlSetPixelPrecision|ctrlSetPosition|ctrlSetScale|ctrlSetStructuredText|ctrlSetText|ctrlSetTextColor|ctrlSetTextColorSecondary|ctrlSetTextSecondary|ctrlSetTooltip|ctrlSetTooltipColorBox|ctrlSetTooltipColorShade|ctrlSetTooltipColorText|ctrlShow|ctrlShown|ctrlText|ctrlTextHeight|ctrlTextSecondary|ctrlTextWidth|ctrlType|ctrlVisible|ctRowControls|ctRowCount|ctSetCurSel|ctSetData|ctSetHeaderTemplate|ctSetRowTemplate|ctSetValue|ctValue|curatorAddons|curatorCamera|curatorCameraArea|curatorCameraAreaCeiling|curatorCoef|curatorEditableObjects|curatorEditingArea|curatorEditingAreaType|curatorMouseOver|curatorPoints|curatorRegisteredObjects|curatorSelected|curatorWaypointCost|current3DENOperation|currentChannel|currentCommand|currentMagazine|currentMagazineDetail|currentMagazineDetailTurret|currentMagazineTurret|currentMuzzle|currentNamespace|currentTask|currentTasks|currentThrowable|currentVisionMode|currentWaypoint|currentWeapon|currentWeaponMode|currentWeaponTurret|currentZeroing|cursorObject|cursorTarget|customChat|customRadio|cutFadeOut|cutObj|cutRsc|cutText|damage|date|dateToNumber|daytime|deActivateKey|debriefingText|debugFSM|debugLog|deg|delete3DENEntities|deleteAt|deleteCenter|deleteCollection|deleteEditorObject|deleteGroup|deleteGroupWhenEmpty|deleteIdentity|deleteLocation|deleteMarker|deleteMarkerLocal|deleteRange|deleteResources|deleteSite|deleteStatus|deleteTeam|deleteVehicle|deleteVehicleCrew|deleteWaypoint|detach|detectedMines|diag_activeMissionFSMs|diag_activeScripts|diag_activeSQFScripts|diag_activeSQSScripts|diag_captureFrame|diag_captureFrameToFile|diag_captureSlowFrame|diag_codePerformance|diag_drawMode|diag_dynamicSimulationEnd|diag_enable|diag_enabled|diag_fps|diag_fpsMin|diag_frameNo|diag_lightNewLoad|diag_list|diag_log|diag_logSlowFrame|diag_mergeConfigFile|diag_recordTurretLimits|diag_setLightNew|diag_tickTime|diag_toggle|dialog|diarySubjectExists|didJIP|didJIPOwner|difficulty|difficultyEnabled|difficultyEnabledRTD|difficultyOption|direction|directSay|disableAI|disableCollisionWith|disableConversation|disableDebriefingStats|disableMapIndicators|disableNVGEquipment|disableRemoteSensors|disableSerialization|disableTIEquipment|disableUAVConnectability|disableUserInput|displayAddEventHandler|displayCtrl|displayNull|displayParent|displayRemoveAllEventHandlers|displayRemoveEventHandler|displaySetEventHandler|dissolveTeam|distance|distance2D|distanceSqr|distributionRegion|do3DENAction|doArtilleryFire|doFire|doFollow|doFSM|doGetOut|doMove|doorPhase|doStop|doSuppressiveFire|doTarget|doWatch|drawArrow|drawEllipse|drawIcon|drawIcon3D|drawLine|drawLine3D|drawLink|drawLocation|drawPolygon|drawRectangle|drawTriangle|driver|drop|dynamicSimulationDistance|dynamicSimulationDistanceCoef|dynamicSimulationEnabled|dynamicSimulationSystemEnabled|east|edit3DENMissionAttributes|editObject|editorSetEventHandler|effectiveCommander|emptyPositions|enableAI|enableAIFeature|enableAimPrecision|enableAttack|enableAudioFeature|enableAutoStartUpRTD|enableAutoTrimRTD|enableCamShake|enableCaustics|enableChannel|enableCollisionWith|enableCopilot|enableDebriefingStats|enableDiagLegend|enableDynamicSimulation|enableDynamicSimulationSystem|enableEndDialog|enableEngineArtillery|enableEnvironment|enableFatigue|enableGunLights|enableInfoPanelComponent|enableIRLasers|enableMimics|enablePersonTurret|enableRadio|enableReload|enableRopeAttach|enableSatNormalOnDetail|enableSaving|enableSentences|enableSimulation|enableSimulationGlobal|enableStamina|enableStressDamage|enableTeamSwitch|enableTraffic|enableUAVConnectability|enableUAVWaypoints|enableVehicleCargo|enableVehicleSensor|enableWeaponDisassembly|endl|endLoadingScreen|endMission|engineOn|enginesIsOnRTD|enginesPowerRTD|enginesRpmRTD|enginesTorqueRTD|entities|environmentEnabled|estimatedEndServerTime|estimatedTimeLeft|evalObjectArgument|everyBackpack|everyContainer|exec|execEditorScript|exp|expectedDestination|exportJIPMessages|eyeDirection|eyePos|face|faction|fadeMusic|fadeRadio|fadeSound|fadeSpeech|failMission|fillWeaponsFromPool|find|findCover|findDisplay|findEditorObject|findEmptyPosition|findEmptyPositionReady|findIf|findNearestEnemy|finishMissionInit|finite|fire|fireAtTarget|firstBackpack|flag|flagAnimationPhase|flagOwner|flagSide|flagTexture|fleeing|floor|flyInHeight|flyInHeightASL|fog|fogForecast|fogParams|forceAddUniform|forceAtPositionRTD|forcedMap|forceEnd|forceFlagTexture|forceFollowRoad|forceGeneratorRTD|forceMap|forceRespawn|forceSpeed|forceWalk|forceWeaponFire|forceWeatherChange|forgetTarget|format|formation|formationDirection|formationLeader|formationMembers|formationPosition|formationTask|formatText|formLeader|freeLook|fromEditor|fuel|fullCrew|gearIDCAmmoCount|gearSlotAmmoCount|gearSlotData|get3DENActionState|get3DENAttribute|get3DENCamera|get3DENConnections|get3DENEntity|get3DENEntityID|get3DENGrid|get3DENIconsVisible|get3DENLayerEntities|get3DENLinesVisible|get3DENMissionAttribute|get3DENMouseOver|get3DENSelected|getAimingCoef|getAllEnvSoundControllers|getAllHitPointsDamage|getAllOwnedMines|getAllSoundControllers|getAmmoCargo|getAnimAimPrecision|getAnimSpeedCoef|getArray|getArtilleryAmmo|getArtilleryComputerSettings|getArtilleryETA|getAssignedCuratorLogic|getAssignedCuratorUnit|getBackpackCargo|getBleedingRemaining|getBurningValue|getCameraViewDirection|getCargoIndex|getCenterOfMass|getClientState|getClientStateNumber|getCompatiblePylonMagazines|getConnectedUAV|getContainerMaxLoad|getCursorObjectParams|getCustomAimCoef|getDammage|getDescription|getDir|getDirVisual|getDLCAssetsUsage|getDLCAssetsUsageByName|getDLCs|getDLCUsageTime|getEditorCamera|getEditorMode|getEditorObjectScope|getElevationOffset|getEngineTargetRpmRTD|getEnvSoundController|getFatigue|getFieldManualStartPage|getForcedFlagTexture|getFriend|getFSMVariable|getFuelCargo|getGroupIcon|getGroupIconParams|getGroupIcons|getHideFrom|getHit|getHitIndex|getHitPointDamage|getItemCargo|getMagazineCargo|getMarkerColor|getMarkerPos|getMarkerSize|getMarkerType|getMass|getMissionConfig|getMissionConfigValue|getMissionDLCs|getMissionLayerEntities|getMissionLayers|getModelInfo|getMousePosition|getMusicPlayedTime|getNumber|getObjectArgument|getObjectChildren|getObjectDLC|getObjectMaterials|getObjectProxy|getObjectTextures|getObjectType|getObjectViewDistance|getOxygenRemaining|getPersonUsedDLCs|getPilotCameraDirection|getPilotCameraPosition|getPilotCameraRotation|getPilotCameraTarget|getPlateNumber|getPlayerChannel|getPlayerScores|getPlayerUID|getPlayerUIDOld|getPos|getPosASL|getPosASLVisual|getPosASLW|getPosATL|getPosATLVisual|getPosVisual|getPosWorld|getPylonMagazines|getRelDir|getRelPos|getRemoteSensorsDisabled|getRepairCargo|getResolution|getRotorBrakeRTD|getShadowDistance|getShotParents|getSlingLoad|getSoundController|getSoundControllerResult|getSpeed|getStamina|getStatValue|getSuppression|getTerrainGrid|getTerrainHeightASL|getText|getTotalDLCUsageTime|getTrimOffsetRTD|getUnitLoadout|getUnitTrait|getUserMFDText|getUserMFDValue|getVariable|getVehicleCargo|getWeaponCargo|getWeaponSway|getWingsOrientationRTD|getWingsPositionRTD|getWPPos|glanceAt|globalChat|globalRadio|goggles|group|groupChat|groupFromNetId|groupIconSelectable|groupIconsVisible|groupId|groupOwner|groupRadio|groupSelectedUnits|groupSelectUnit|grpNull|gunner|gusts|halt|handgunItems|handgunMagazine|handgunWeapon|handsHit|hasInterface|hasPilotCamera|hasWeapon|hcAllGroups|hcGroupParams|hcLeader|hcRemoveAllGroups|hcRemoveGroup|hcSelected|hcSelectGroup|hcSetGroup|hcShowBar|hcShownBar|headgear|hideBody|hideObject|hideObjectGlobal|hideSelection|hint|hintC|hintCadet|hintSilent|hmd|hostMission|htmlLoad|HUDMovementLevels|humidity|image|importAllGroups|importance|in|inArea|inAreaArray|incapacitatedState|independent|inflame|inflamed|infoPanel|infoPanelComponentEnabled|infoPanelComponents|infoPanels|inGameUISetEventHandler|inheritsFrom|initAmbientLife|inPolygon|inputAction|inRangeOfArtillery|insertEditorObject|intersect|is3DEN|is3DENMultiplayer|isAbleToBreathe|isAgent|isAimPrecisionEnabled|isArray|isAutoHoverOn|isAutonomous|isAutoStartUpEnabledRTD|isAutotest|isAutoTrimOnRTD|isBleeding|isBurning|isClass|isCollisionLightOn|isCopilotEnabled|isDamageAllowed|isDedicated|isDLCAvailable|isEngineOn|isEqualTo|isEqualType|isEqualTypeAll|isEqualTypeAny|isEqualTypeArray|isEqualTypeParams|isFilePatchingEnabled|isFlashlightOn|isFlatEmpty|isForcedWalk|isFormationLeader|isGroupDeletedWhenEmpty|isHidden|isInRemainsCollector|isInstructorFigureEnabled|isIRLaserOn|isKeyActive|isKindOf|isLaserOn|isLightOn|isLocalized|isManualFire|isMarkedForCollection|isMultiplayer|isMultiplayerSolo|isNil|isNull|isNumber|isObjectHidden|isObjectRTD|isOnRoad|isPipEnabled|isPlayer|isRealTime|isRemoteExecuted|isRemoteExecutedJIP|isServer|isShowing3DIcons|isSimpleObject|isSprintAllowed|isStaminaEnabled|isSteamMission|isStreamFriendlyUIEnabled|isStressDamageEnabled|isText|isTouchingGround|isTurnedOut|isTutHintsEnabled|isUAVConnectable|isUAVConnected|isUIContext|isUniformAllowed|isVehicleCargo|isVehicleRadarOn|isVehicleSensorEnabled|isWalking|isWeaponDeployed|isWeaponRested|itemCargo|items|itemsWithMagazines|join|joinAs|joinAsSilent|joinSilent|joinString|kbAddDatabase|kbAddDatabaseTargets|kbAddTopic|kbHasTopic|kbReact|kbRemoveTopic|kbTell|kbWasSaid|keyImage|keyName|knowsAbout|land|landAt|landResult|language|laserTarget|lbAdd|lbClear|lbColor|lbColorRight|lbCurSel|lbData|lbDelete|lbIsSelected|lbPicture|lbPictureRight|lbSelection|lbSetColor|lbSetColorRight|lbSetCurSel|lbSetData|lbSetPicture|lbSetPictureColor|lbSetPictureColorDisabled|lbSetPictureColorSelected|lbSetPictureRight|lbSetPictureRightColor|lbSetPictureRightColorDisabled|lbSetPictureRightColorSelected|lbSetSelectColor|lbSetSelectColorRight|lbSetSelected|lbSetText|lbSetTextRight|lbSetTooltip|lbSetValue|lbSize|lbSort|lbSortByValue|lbText|lbTextRight|lbValue|leader|leaderboardDeInit|leaderboardGetRows|leaderboardInit|leaderboardRequestRowsFriends|leaderboardRequestRowsGlobal|leaderboardRequestRowsGlobalAroundUser|leaderboardsRequestUploadScore|leaderboardsRequestUploadScoreKeepBest|leaderboardState|leaveVehicle|libraryCredits|libraryDisclaimers|lifeState|lightAttachObject|lightDetachObject|lightIsOn|lightnings|limitSpeed|linearConversion|lineBreak|lineIntersects|lineIntersectsObjs|lineIntersectsSurfaces|lineIntersectsWith|linkItem|list|listObjects|listRemoteTargets|listVehicleSensors|ln|lnbAddArray|lnbAddColumn|lnbAddRow|lnbClear|lnbColor|lnbColorRight|lnbCurSelRow|lnbData|lnbDeleteColumn|lnbDeleteRow|lnbGetColumnsPosition|lnbPicture|lnbPictureRight|lnbSetColor|lnbSetColorRight|lnbSetColumnsPos|lnbSetCurSelRow|lnbSetData|lnbSetPicture|lnbSetPictureColor|lnbSetPictureColorRight|lnbSetPictureColorSelected|lnbSetPictureColorSelectedRight|lnbSetPictureRight|lnbSetText|lnbSetTextRight|lnbSetValue|lnbSize|lnbSort|lnbSortByValue|lnbText|lnbTextRight|lnbValue|load|loadAbs|loadBackpack|loadFile|loadGame|loadIdentity|loadMagazine|loadOverlay|loadStatus|loadUniform|loadVest|local|localize|locationNull|locationPosition|lock|lockCameraTo|lockCargo|lockDriver|locked|lockedCargo|lockedDriver|lockedTurret|lockIdentity|lockTurret|lockWP|log|logEntities|logNetwork|logNetworkTerminate|lookAt|lookAtPos|magazineCargo|magazines|magazinesAllTurrets|magazinesAmmo|magazinesAmmoCargo|magazinesAmmoFull|magazinesDetail|magazinesDetailBackpack|magazinesDetailUniform|magazinesDetailVest|magazinesTurret|magazineTurretAmmo|mapAnimAdd|mapAnimClear|mapAnimCommit|mapAnimDone|mapCenterOnCamera|mapGridPosition|markAsFinishedOnSteam|markerAlpha|markerBrush|markerColor|markerDir|markerPos|markerShape|markerSize|markerText|markerType|max|members|menuAction|menuAdd|menuChecked|menuClear|menuCollapse|menuData|menuDelete|menuEnable|menuEnabled|menuExpand|menuHover|menuPicture|menuSetAction|menuSetCheck|menuSetData|menuSetPicture|menuSetValue|menuShortcut|menuShortcutText|menuSize|menuSort|menuText|menuURL|menuValue|min|mineActive|mineDetectedBy|missionConfigFile|missionDifficulty|missionName|missionNamespace|missionStart|missionVersion|modelToWorld|modelToWorldVisual|modelToWorldVisualWorld|modelToWorldWorld|modParams|moonIntensity|moonPhase|morale|move|move3DENCamera|moveInAny|moveInCargo|moveInCommander|moveInDriver|moveInGunner|moveInTurret|moveObjectToEnd|moveOut|moveTime|moveTo|moveToCompleted|moveToFailed|musicVolume|name|nameSound|nearEntities|nearestBuilding|nearestLocation|nearestLocations|nearestLocationWithDubbing|nearestObject|nearestObjects|nearestTerrainObjects|nearObjects|nearObjectsReady|nearRoads|nearSupplies|nearTargets|needReload|netId|netObjNull|newOverlay|nextMenuItemIndex|nextWeatherChange|nMenuItems|numberOfEnginesRTD|numberToDate|objectCurators|objectFromNetId|objectParent|objNull|objStatus|onBriefingGear|onBriefingGroup|onBriefingNotes|onBriefingPlan|onBriefingTeamSwitch|onCommandModeChanged|onDoubleClick|onEachFrame|onGroupIconClick|onGroupIconOverEnter|onGroupIconOverLeave|onHCGroupSelectionChanged|onMapSingleClick|onPlayerConnected|onPlayerDisconnected|onPreloadFinished|onPreloadStarted|onShowNewObject|onTeamSwitch|openCuratorInterface|openDLCPage|openDSInterface|openMap|openSteamApp|openYoutubeVideo|opfor|orderGetIn|overcast|overcastForecast|owner|param|params|parseNumber|parseSimpleArray|parseText|parsingNamespace|particlesQuality|pi|pickWeaponPool|pitch|pixelGrid|pixelGridBase|pixelGridNoUIScale|pixelH|pixelW|playableSlotsNumber|playableUnits|playAction|playActionNow|player|playerRespawnTime|playerSide|playersNumber|playGesture|playMission|playMove|playMoveNow|playMusic|playScriptedMission|playSound|playSound3D|position|positionCameraToWorld|posScreenToWorld|posWorldToScreen|ppEffectAdjust|ppEffectCommit|ppEffectCommitted|ppEffectCreate|ppEffectDestroy|ppEffectEnable|ppEffectEnabled|ppEffectForceInNVG|precision|preloadCamera|preloadObject|preloadSound|preloadTitleObj|preloadTitleRsc|primaryWeapon|primaryWeaponItems|primaryWeaponMagazine|priority|processDiaryLink|processInitCommands|productVersion|profileName|profileNamespace|profileNameSteam|progressLoadingScreen|progressPosition|progressSetPosition|publicVariable|publicVariableClient|publicVariableServer|pushBack|pushBackUnique|putWeaponPool|queryItemsPool|queryMagazinePool|queryWeaponPool|rad|radioChannelAdd|radioChannelCreate|radioChannelRemove|radioChannelSetCallSign|radioChannelSetLabel|radioVolume|rain|rainbow|random|rank|rankId|rating|rectangular|registeredTasks|registerTask|reload|reloadEnabled|remoteControl|remoteExec|remoteExecCall|remoteExecutedOwner|remove3DENConnection|remove3DENEventHandler|remove3DENLayer|removeAction|removeAll3DENEventHandlers|removeAllActions|removeAllAssignedItems|removeAllContainers|removeAllCuratorAddons|removeAllCuratorCameraAreas|removeAllCuratorEditingAreas|removeAllEventHandlers|removeAllHandgunItems|removeAllItems|removeAllItemsWithMagazines|removeAllMissionEventHandlers|removeAllMPEventHandlers|removeAllMusicEventHandlers|removeAllOwnedMines|removeAllPrimaryWeaponItems|removeAllWeapons|removeBackpack|removeBackpackGlobal|removeCuratorAddons|removeCuratorCameraArea|removeCuratorEditableObjects|removeCuratorEditingArea|removeDrawIcon|removeDrawLinks|removeEventHandler|removeFromRemainsCollector|removeGoggles|removeGroupIcon|removeHandgunItem|removeHeadgear|removeItem|removeItemFromBackpack|removeItemFromUniform|removeItemFromVest|removeItems|removeMagazine|removeMagazineGlobal|removeMagazines|removeMagazinesTurret|removeMagazineTurret|removeMenuItem|removeMissionEventHandler|removeMPEventHandler|removeMusicEventHandler|removeOwnedMine|removePrimaryWeaponItem|removeSecondaryWeaponItem|removeSimpleTask|removeSwitchableUnit|removeTeamMember|removeUniform|removeVest|removeWeapon|removeWeaponAttachmentCargo|removeWeaponCargo|removeWeaponGlobal|removeWeaponTurret|reportRemoteTarget|requiredVersion|resetCamShake|resetSubgroupDirection|resistance|resize|resources|respawnVehicle|restartEditorCamera|reveal|revealMine|reverse|reversedMouseY|roadAt|roadsConnectedTo|roleDescription|ropeAttachedObjects|ropeAttachedTo|ropeAttachEnabled|ropeAttachTo|ropeCreate|ropeCut|ropeDestroy|ropeDetach|ropeEndPosition|ropeLength|ropes|ropeUnwind|ropeUnwound|rotorsForcesRTD|rotorsRpmRTD|round|runInitScript|safeZoneH|safeZoneW|safeZoneWAbs|safeZoneX|safeZoneXAbs|safeZoneY|save3DENInventory|saveGame|saveIdentity|saveJoysticks|saveOverlay|saveProfileNamespace|saveStatus|saveVar|savingEnabled|say|say2D|say3D|score|scoreSide|screenshot|screenToWorld|scriptDone|scriptName|scriptNull|scudState|secondaryWeapon|secondaryWeaponItems|secondaryWeaponMagazine|select|selectBestPlaces|selectDiarySubject|selectedEditorObjects|selectEditorObject|selectionNames|selectionPosition|selectLeader|selectMax|selectMin|selectNoPlayer|selectPlayer|selectRandom|selectRandomWeighted|selectWeapon|selectWeaponTurret|sendAUMessage|sendSimpleCommand|sendTask|sendTaskResult|sendUDPMessage|serverCommand|serverCommandAvailable|serverCommandExecutable|serverName|serverTime|set|set3DENAttribute|set3DENAttributes|set3DENGrid|set3DENIconsVisible|set3DENLayer|set3DENLinesVisible|set3DENLogicType|set3DENMissionAttribute|set3DENMissionAttributes|set3DENModelsVisible|set3DENObjectType|set3DENSelected|setAccTime|setActualCollectiveRTD|setAirplaneThrottle|setAirportSide|setAmmo|setAmmoCargo|setAmmoOnPylon|setAnimSpeedCoef|setAperture|setApertureNew|setArmoryPoints|setAttributes|setAutonomous|setBehaviour|setBleedingRemaining|setBrakesRTD|setCameraInterest|setCamShakeDefParams|setCamShakeParams|setCamUseTI|setCaptive|setCenterOfMass|setCollisionLight|setCombatMode|setCompassOscillation|setConvoySeparation|setCuratorCameraAreaCeiling|setCuratorCoef|setCuratorEditingAreaType|setCuratorWaypointCost|setCurrentChannel|setCurrentTask|setCurrentWaypoint|setCustomAimCoef|setCustomWeightRTD|setDamage|setDammage|setDate|setDebriefingText|setDefaultCamera|setDestination|setDetailMapBlendPars|setDir|setDirection|setDrawIcon|setDriveOnPath|setDropInterval|setDynamicSimulationDistance|setDynamicSimulationDistanceCoef|setEditorMode|setEditorObjectScope|setEffectCondition|setEngineRpmRTD|setFace|setFaceAnimation|setFatigue|setFeatureType|setFlagAnimationPhase|setFlagOwner|setFlagSide|setFlagTexture|setFog|setForceGeneratorRTD|setFormation|setFormationTask|setFormDir|setFriend|setFromEditor|setFSMVariable|setFuel|setFuelCargo|setGroupIcon|setGroupIconParams|setGroupIconsSelectable|setGroupIconsVisible|setGroupId|setGroupIdGlobal|setGroupOwner|setGusts|setHideBehind|setHit|setHitIndex|setHitPointDamage|setHorizonParallaxCoef|setHUDMovementLevels|setIdentity|setImportance|setInfoPanel|setLeader|setLightAmbient|setLightAttenuation|setLightBrightness|setLightColor|setLightDayLight|setLightFlareMaxDistance|setLightFlareSize|setLightIntensity|setLightnings|setLightUseFlare|setLocalWindParams|setMagazineTurretAmmo|setMarkerAlpha|setMarkerAlphaLocal|setMarkerBrush|setMarkerBrushLocal|setMarkerColor|setMarkerColorLocal|setMarkerDir|setMarkerDirLocal|setMarkerPos|setMarkerPosLocal|setMarkerShape|setMarkerShapeLocal|setMarkerSize|setMarkerSizeLocal|setMarkerText|setMarkerTextLocal|setMarkerType|setMarkerTypeLocal|setMass|setMimic|setMousePosition|setMusicEffect|setMusicEventHandler|setName|setNameSound|setObjectArguments|setObjectMaterial|setObjectMaterialGlobal|setObjectProxy|setObjectTexture|setObjectTextureGlobal|setObjectViewDistance|setOvercast|setOwner|setOxygenRemaining|setParticleCircle|setParticleClass|setParticleFire|setParticleParams|setParticleRandom|setPilotCameraDirection|setPilotCameraRotation|setPilotCameraTarget|setPilotLight|setPiPEffect|setPitch|setPlateNumber|setPlayable|setPlayerRespawnTime|setPos|setPosASL|setPosASL2|setPosASLW|setPosATL|setPosition|setPosWorld|setPylonLoadOut|setPylonsPriority|setRadioMsg|setRain|setRainbow|setRandomLip|setRank|setRectangular|setRepairCargo|setRotorBrakeRTD|setShadowDistance|setShotParents|setSide|setSimpleTaskAlwaysVisible|setSimpleTaskCustomData|setSimpleTaskDescription|setSimpleTaskDestination|setSimpleTaskTarget|setSimpleTaskType|setSimulWeatherLayers|setSize|setSkill|setSlingLoad|setSoundEffect|setSpeaker|setSpeech|setSpeedMode|setStamina|setStaminaScheme|setStatValue|setSuppression|setSystemOfUnits|setTargetAge|setTaskMarkerOffset|setTaskResult|setTaskState|setTerrainGrid|setText|setTimeMultiplier|setTitleEffect|setToneMapping|setToneMappingParams|setTrafficDensity|setTrafficDistance|setTrafficGap|setTrafficSpeed|setTriggerActivation|setTriggerArea|setTriggerStatements|setTriggerText|setTriggerTimeout|setTriggerType|setType|setUnconscious|setUnitAbility|setUnitLoadout|setUnitPos|setUnitPosWeak|setUnitRank|setUnitRecoilCoefficient|setUnitTrait|setUnloadInCombat|setUserActionText|setUserMFDText|setUserMFDValue|setVariable|setVectorDir|setVectorDirAndUp|setVectorUp|setVehicleAmmo|setVehicleAmmoDef|setVehicleArmor|setVehicleCargo|setVehicleId|setVehicleInit|setVehicleLock|setVehiclePosition|setVehicleRadar|setVehicleReceiveRemoteTargets|setVehicleReportOwnPosition|setVehicleReportRemoteTargets|setVehicleTIPars|setVehicleVarName|setVelocity|setVelocityModelSpace|setVelocityTransformation|setViewDistance|setVisibleIfTreeCollapsed|setWantedRpmRTD|setWaves|setWaypointBehaviour|setWaypointCombatMode|setWaypointCompletionRadius|setWaypointDescription|setWaypointForceBehaviour|setWaypointFormation|setWaypointHousePosition|setWaypointLoiterRadius|setWaypointLoiterType|setWaypointName|setWaypointPosition|setWaypointScript|setWaypointSpeed|setWaypointStatements|setWaypointTimeout|setWaypointType|setWaypointVisible|setWeaponReloadingTime|setWind|setWindDir|setWindForce|setWindStr|setWingForceScaleRTD|setWPPos|show3DIcons|showChat|showCinemaBorder|showCommandingMenu|showCompass|showCuratorCompass|showGPS|showHUD|showLegend|showMap|shownArtilleryComputer|shownChat|shownCompass|shownCuratorCompass|showNewEditorObject|shownGPS|shownHUD|shownMap|shownPad|shownRadio|shownScoretable|shownUAVFeed|shownWarrant|shownWatch|showPad|showRadio|showScoretable|showSubtitles|showUAVFeed|showWarrant|showWatch|showWaypoint|showWaypoints|side|sideAmbientLife|sideChat|sideEmpty|sideEnemy|sideFriendly|sideLogic|sideRadio|sideUnknown|simpleTasks|simulationEnabled|simulCloudDensity|simulCloudOcclusion|simulInClouds|simulWeatherSync|sin|size|sizeOf|skill|skillFinal|skipTime|sleep|sliderPosition|sliderRange|sliderSetPosition|sliderSetRange|sliderSetSpeed|sliderSpeed|slingLoadAssistantShown|soldierMagazines|someAmmo|sort|soundVolume|speaker|speed|speedMode|splitString|sqrt|squadParams|stance|startLoadingScreen|stop|stopEngineRTD|stopped|str|sunOrMoon|supportInfo|suppressFor|surfaceIsWater|surfaceNormal|surfaceType|swimInDepth|switchableUnits|switchAction|switchCamera|switchGesture|switchLight|switchMove|synchronizedObjects|synchronizedTriggers|synchronizedWaypoints|synchronizeObjectsAdd|synchronizeObjectsRemove|synchronizeTrigger|synchronizeWaypoint|systemChat|systemOfUnits|tan|targetKnowledge|targets|targetsAggregate|targetsQuery|taskAlwaysVisible|taskChildren|taskCompleted|taskCustomData|taskDescription|taskDestination|taskHint|taskMarkerOffset|taskNull|taskParent|taskResult|taskState|taskType|teamMember|teamMemberNull|teamName|teams|teamSwitch|teamSwitchEnabled|teamType|terminate|terrainIntersect|terrainIntersectASL|terrainIntersectAtASL|text|textLog|textLogFormat|tg|time|timeMultiplier|titleCut|titleFadeOut|titleObj|titleRsc|titleText|toArray|toFixed|toLower|toString|toUpper|triggerActivated|triggerActivation|triggerArea|triggerAttachedVehicle|triggerAttachObject|triggerAttachVehicle|triggerDynamicSimulation|triggerStatements|triggerText|triggerTimeout|triggerTimeoutCurrent|triggerType|turretLocal|turretOwner|turretUnit|tvAdd|tvClear|tvCollapse|tvCollapseAll|tvCount|tvCurSel|tvData|tvDelete|tvExpand|tvExpandAll|tvPicture|tvPictureRight|tvSetColor|tvSetCurSel|tvSetData|tvSetPicture|tvSetPictureColor|tvSetPictureColorDisabled|tvSetPictureColorSelected|tvSetPictureRight|tvSetPictureRightColor|tvSetPictureRightColorDisabled|tvSetPictureRightColorSelected|tvSetSelectColor|tvSetText|tvSetTooltip|tvSetValue|tvSort|tvSortByValue|tvText|tvTooltip|tvValue|type|typeName|typeOf|UAVControl|uiNamespace|uiSleep|unassignCurator|unassignItem|unassignTeam|unassignVehicle|underwater|uniform|uniformContainer|uniformItems|uniformMagazines|unitAddons|unitAimPosition|unitAimPositionVisual|unitBackpack|unitIsUAV|unitPos|unitReady|unitRecoilCoefficient|units|unitsBelowHeight|unlinkItem|unlockAchievement|unregisterTask|updateDrawIcon|updateMenuItem|updateObjectTree|useAIOperMapObstructionTest|useAISteeringComponent|useAudioTimeForMoves|userInputDisabled|vectorAdd|vectorCos|vectorCrossProduct|vectorDiff|vectorDir|vectorDirVisual|vectorDistance|vectorDistanceSqr|vectorDotProduct|vectorFromTo|vectorMagnitude|vectorMagnitudeSqr|vectorModelToWorld|vectorModelToWorldVisual|vectorMultiply|vectorNormalized|vectorUp|vectorUpVisual|vectorWorldToModel|vectorWorldToModelVisual|vehicle|vehicleCargoEnabled|vehicleChat|vehicleRadio|vehicleReceiveRemoteTargets|vehicleReportOwnPosition|vehicleReportRemoteTargets|vehicles|vehicleVarName|velocity|velocityModelSpace|verifySignature|vest|vestContainer|vestItems|vestMagazines|viewDistance|visibleCompass|visibleGPS|visibleMap|visiblePosition|visiblePositionASL|visibleScoretable|visibleWatch|waitUntil|waves|waypointAttachedObject|waypointAttachedVehicle|waypointAttachObject|waypointAttachVehicle|waypointBehaviour|waypointCombatMode|waypointCompletionRadius|waypointDescription|waypointForceBehaviour|waypointFormation|waypointHousePosition|waypointLoiterRadius|waypointLoiterType|waypointName|waypointPosition|waypoints|waypointScript|waypointsEnabledUAV|waypointShow|waypointSpeed|waypointStatements|waypointTimeout|waypointTimeoutCurrent|waypointType|waypointVisible|weaponAccessories|weaponAccessoriesCargo|weaponCargo|weaponDirection|weaponInertia|weaponLowered|weapons|weaponsItems|weaponsItemsCargo|weaponState|weaponsTurret|weightRTD|west|WFSideText|wind|windDir|windRTD|windStr|wingsForcesRTD|worldName|worldSize|worldToModel|worldToModelVisual|worldToScreen)\\b/i,\n number:\n /(?:\\$|\\b0x)[\\da-f]+\\b|(?:\\B\\.\\d+|\\b\\d+(?:\\.\\d+)?)(?:e[+-]?\\d+)?\\b/i,\n operator: /##|>>|&&|\\|\\||[!=<>]=?|[-+*/%#^]|\\b(?:and|mod|not|or)\\b/i,\n 'magic-variable': {\n pattern:\n /\\b(?:this|thisList|thisTrigger|_exception|_fnc_scriptName|_fnc_scriptNameParent|_forEachIndex|_this|_thisEventHandler|_thisFSM|_thisScript|_x)\\b/i,\n alias: 'keyword'\n },\n constant: /\\bDIK(?:_[a-z\\d]+)+\\b/i\n })\n Prism.languages.insertBefore('sqf', 'string', {\n macro: {\n pattern: /(^[ \\t]*)#[a-z](?:[^\\r\\n\\\\]|\\\\(?:\\r\\n|[\\s\\S]))*/im,\n lookbehind: true,\n greedy: true,\n alias: 'property',\n inside: {\n directive: {\n pattern: /#[a-z]+\\b/i,\n alias: 'keyword'\n },\n comment: Prism.languages.sqf.comment\n }\n }\n })\n delete Prism.languages.sqf['class-name']\n}\n","// @ts-nocheck\nimport refractorClike from './clike.js'\nsquirrel.displayName = 'squirrel'\nsquirrel.aliases = []\n\n/** @type {import('../core.js').Syntax} */\nexport default function squirrel(Prism) {\n Prism.register(refractorClike)\n Prism.languages.squirrel = Prism.languages.extend('clike', {\n comment: [\n Prism.languages.clike['comment'][0],\n {\n pattern: /(^|[^\\\\:])(?:\\/\\/|#).*/,\n lookbehind: true,\n greedy: true\n }\n ],\n string: {\n pattern: /(^|[^\\\\\"'@])(?:@\"(?:[^\"]|\"\")*\"(?!\")|\"(?:[^\\\\\\r\\n\"]|\\\\.)*\")/,\n lookbehind: true,\n greedy: true\n },\n 'class-name': {\n pattern: /(\\b(?:class|enum|extends|instanceof)\\s+)\\w+(?:\\.\\w+)*/,\n lookbehind: true,\n inside: {\n punctuation: /\\./\n }\n },\n keyword:\n /\\b(?:__FILE__|__LINE__|base|break|case|catch|class|clone|const|constructor|continue|default|delete|else|enum|extends|for|foreach|function|if|in|instanceof|local|null|resume|return|static|switch|this|throw|try|typeof|while|yield)\\b/,\n number: /\\b(?:0x[0-9a-fA-F]+|\\d+(?:\\.(?:\\d+|[eE][+-]?\\d+))?)\\b/,\n operator: /\\+\\+|--|<=>|<[-<]|>>>?|&&?|\\|\\|?|[-+*/%!=<>]=?|[~^]|::?/,\n punctuation: /[(){}\\[\\],;.]/\n })\n Prism.languages.insertBefore('squirrel', 'string', {\n char: {\n pattern: /(^|[^\\\\\"'])'(?:[^\\\\']|\\\\(?:[xuU][0-9a-fA-F]{0,8}|[\\s\\S]))'/,\n lookbehind: true,\n greedy: true\n }\n })\n Prism.languages.insertBefore('squirrel', 'operator', {\n 'attribute-punctuation': {\n pattern: /<\\/|\\/>/,\n alias: 'important'\n },\n lambda: {\n pattern: /@(?=\\()/,\n alias: 'operator'\n }\n })\n}\n","// @ts-nocheck\nstan.displayName = 'stan'\nstan.aliases = []\n\n/** @type {import('../core.js').Syntax} */\nexport default function stan(Prism) {\n ;(function (Prism) {\n // https://mc-stan.org/docs/2_28/reference-manual/bnf-grammars.html\n\n var higherOrderFunctions =\n /\\b(?:algebra_solver|algebra_solver_newton|integrate_1d|integrate_ode|integrate_ode_bdf|integrate_ode_rk45|map_rect|ode_(?:adams|bdf|ckrk|rk45)(?:_tol)?|ode_adjoint_tol_ctl|reduce_sum|reduce_sum_static)\\b/\n Prism.languages.stan = {\n comment: /\\/\\/.*|\\/\\*[\\s\\S]*?\\*\\/|#(?!include).*/,\n string: {\n // String literals can contain spaces and any printable ASCII characters except for \" and \\\n // https://mc-stan.org/docs/2_24/reference-manual/print-statements-section.html#string-literals\n pattern: /\"[\\x20\\x21\\x23-\\x5B\\x5D-\\x7E]*\"/,\n greedy: true\n },\n directive: {\n pattern: /^([ \\t]*)#include\\b.*/m,\n lookbehind: true,\n alias: 'property'\n },\n 'function-arg': {\n pattern: RegExp(\n '(' +\n higherOrderFunctions.source +\n /\\s*\\(\\s*/.source +\n ')' +\n /[a-zA-Z]\\w*/.source\n ),\n lookbehind: true,\n alias: 'function'\n },\n constraint: {\n pattern: /(\\b(?:int|matrix|real|row_vector|vector)\\s*)<[^<>]*>/,\n lookbehind: true,\n inside: {\n expression: {\n pattern: /(=\\s*)\\S(?:\\S|\\s+(?!\\s))*?(?=\\s*(?:>$|,\\s*\\w+\\s*=))/,\n lookbehind: true,\n inside: null // see below\n },\n\n property: /\\b[a-z]\\w*(?=\\s*=)/i,\n operator: /=/,\n punctuation: /^<|>$|,/\n }\n },\n keyword: [\n {\n pattern:\n /\\bdata(?=\\s*\\{)|\\b(?:functions|generated|model|parameters|quantities|transformed)\\b/,\n alias: 'program-block'\n },\n /\\b(?:array|break|cholesky_factor_corr|cholesky_factor_cov|complex|continue|corr_matrix|cov_matrix|data|else|for|if|in|increment_log_prob|int|matrix|ordered|positive_ordered|print|real|reject|return|row_vector|simplex|target|unit_vector|vector|void|while)\\b/,\n // these are functions that are known to take another function as their first argument.\n higherOrderFunctions\n ],\n function: /\\b[a-z]\\w*(?=\\s*\\()/i,\n number:\n /(?:\\b\\d+(?:_\\d+)*(?:\\.(?:\\d+(?:_\\d+)*)?)?|\\B\\.\\d+(?:_\\d+)*)(?:E[+-]?\\d+(?:_\\d+)*)?i?(?!\\w)/i,\n boolean: /\\b(?:false|true)\\b/,\n operator: /<-|\\.[*/]=?|\\|\\|?|&&|[!=<>+\\-*/]=?|['^%~?:]/,\n punctuation: /[()\\[\\]{},;]/\n }\n Prism.languages.stan.constraint.inside.expression.inside =\n Prism.languages.stan\n })(Prism)\n}\n","// @ts-nocheck\nimport refractorJava from './java.js'\nimport refractorMata from './mata.js'\nimport refractorPython from './python.js'\nstata.displayName = 'stata'\nstata.aliases = []\n\n/** @type {import('../core.js').Syntax} */\nexport default function stata(Prism) {\n Prism.register(refractorJava)\n Prism.register(refractorMata)\n Prism.register(refractorPython)\n // https://www.stata.com/manuals/u.pdf\n // https://www.stata.com/manuals/p.pdf\n\n Prism.languages.stata = {\n comment: [\n {\n pattern: /(^[ \\t]*)\\*.*/m,\n lookbehind: true,\n greedy: true\n },\n {\n pattern: /(^|\\s)\\/\\/.*|\\/\\*[\\s\\S]*?\\*\\//,\n lookbehind: true,\n greedy: true\n }\n ],\n 'string-literal': {\n pattern: /\"[^\"\\r\\n]*\"|[‘`']\".*?\"[’`']/,\n greedy: true,\n inside: {\n interpolation: {\n pattern: /\\$\\{[^{}]*\\}|[‘`']\\w[^’`'\\r\\n]*[’`']/,\n inside: {\n punctuation: /^\\$\\{|\\}$/,\n expression: {\n pattern: /[\\s\\S]+/,\n inside: null // see below\n }\n }\n },\n\n string: /[\\s\\S]+/\n }\n },\n mata: {\n pattern: /(^[ \\t]*mata[ \\t]*:)[\\s\\S]+?(?=^end\\b)/m,\n lookbehind: true,\n greedy: true,\n alias: 'language-mata',\n inside: Prism.languages.mata\n },\n java: {\n pattern: /(^[ \\t]*java[ \\t]*:)[\\s\\S]+?(?=^end\\b)/m,\n lookbehind: true,\n greedy: true,\n alias: 'language-java',\n inside: Prism.languages.java\n },\n python: {\n pattern: /(^[ \\t]*python[ \\t]*:)[\\s\\S]+?(?=^end\\b)/m,\n lookbehind: true,\n greedy: true,\n alias: 'language-python',\n inside: Prism.languages.python\n },\n command: {\n pattern:\n /(^[ \\t]*(?:\\.[ \\t]+)?(?:(?:bayes|bootstrap|by|bysort|capture|collect|fmm|fp|frame|jackknife|mfp|mi|nestreg|noisily|permute|quietly|rolling|simulate|statsby|stepwise|svy|version|xi)\\b[^:\\r\\n]*:[ \\t]*|(?:capture|noisily|quietly|version)[ \\t]+)?)[a-zA-Z]\\w*/m,\n lookbehind: true,\n greedy: true,\n alias: 'keyword'\n },\n variable: /\\$\\w+|[‘`']\\w[^’`'\\r\\n]*[’`']/,\n keyword:\n /\\b(?:bayes|bootstrap|by|bysort|capture|clear|collect|fmm|fp|frame|if|in|jackknife|mi[ \\t]+estimate|mfp|nestreg|noisily|of|permute|quietly|rolling|simulate|sort|statsby|stepwise|svy|varlist|version|xi)\\b/,\n boolean: /\\b(?:off|on)\\b/,\n number: /\\b\\d+(?:\\.\\d+)?\\b|\\B\\.\\d+/,\n function: /\\b[a-z_]\\w*(?=\\()/i,\n operator: /\\+\\+|--|##?|[<>!=~]=?|[+\\-*^&|/]/,\n punctuation: /[(){}[\\],:]/\n }\n Prism.languages.stata[\n 'string-literal'\n ].inside.interpolation.inside.expression.inside = Prism.languages.stata\n}\n","// @ts-nocheck\nsupercollider.displayName = 'supercollider'\nsupercollider.aliases = ['sclang']\n\n/** @type {import('../core.js').Syntax} */\nexport default function supercollider(Prism) {\n Prism.languages.supercollider = {\n comment: {\n pattern:\n /\\/\\/.*|\\/\\*(?:[^*/]|\\*(?!\\/)|\\/(?!\\*)|\\/\\*(?:[^*]|\\*(?!\\/))*\\*\\/)*\\*\\//,\n greedy: true\n },\n string: {\n pattern: /(^|[^\\\\])\"(?:[^\"\\\\]|\\\\[\\s\\S])*\"/,\n lookbehind: true,\n greedy: true\n },\n char: {\n pattern: /\\$(?:[^\\\\\\r\\n]|\\\\.)/,\n greedy: true\n },\n symbol: {\n pattern: /(^|[^\\\\])'(?:[^'\\\\]|\\\\[\\s\\S])*'|\\\\\\w+/,\n lookbehind: true,\n greedy: true\n },\n keyword: /\\b(?:_|arg|classvar|const|nil|var|while)\\b/,\n boolean: /\\b(?:false|true)\\b/,\n label: {\n pattern: /\\b[a-z_]\\w*(?=\\s*:)/,\n alias: 'property'\n },\n number:\n /\\b(?:inf|pi|0x[0-9a-fA-F]+|\\d+(?:\\.\\d+)?(?:[eE][+-]?\\d+)?(?:pi)?|\\d+r[0-9a-zA-Z]+(?:\\.[0-9a-zA-Z]+)?|\\d+[sb]{1,4}\\d*)\\b/,\n 'class-name': /\\b[A-Z]\\w*\\b/,\n operator:\n /\\.{2,3}|#(?![[{])|&&|[!=]==?|\\+>>|\\+{1,3}|-[->]|=>|>>|\\?\\?|@\\|?@|\\|(?:@|[!=]=)?\\||!\\?|<[!=>]|\\*{1,2}|<{2,3}\\*?|[-!%&/<>?@|=`]/,\n punctuation: /[{}()[\\].:,;]|#[[{]/\n }\n Prism.languages.sclang = Prism.languages.supercollider\n}\n","// @ts-nocheck\nsystemd.displayName = 'systemd'\nsystemd.aliases = []\n\n/** @type {import('../core.js').Syntax} */\nexport default function systemd(Prism) {\n // https://www.freedesktop.org/software/systemd/man/systemd.syntax.html\n\n ;(function (Prism) {\n var comment = {\n pattern: /^[;#].*/m,\n greedy: true\n }\n var quotesSource = /\"(?:[^\\r\\n\"\\\\]|\\\\(?:[^\\r]|\\r\\n?))*\"(?!\\S)/.source\n Prism.languages.systemd = {\n comment: comment,\n section: {\n pattern: /^\\[[^\\n\\r\\[\\]]*\\](?=[ \\t]*$)/m,\n greedy: true,\n inside: {\n punctuation: /^\\[|\\]$/,\n 'section-name': {\n pattern: /[\\s\\S]+/,\n alias: 'selector'\n }\n }\n },\n key: {\n pattern: /^[^\\s=]+(?=[ \\t]*=)/m,\n greedy: true,\n alias: 'attr-name'\n },\n value: {\n // This pattern is quite complex because of two properties:\n // 1) Quotes (strings) must be preceded by a space. Since we can't use lookbehinds, we have to \"resolve\"\n // the lookbehind. You will see this in the main loop where spaces are handled separately.\n // 2) Line continuations.\n // After line continuations, empty lines and comments are ignored so we have to consume them.\n pattern: RegExp(\n /(=[ \\t]*(?!\\s))/.source +\n // the value either starts with quotes or not\n '(?:' +\n quotesSource +\n '|(?=[^\"\\r\\n]))' +\n // main loop\n '(?:' +\n (/[^\\s\\\\]/.source +\n // handle spaces separately because of quotes\n '|' +\n '[ \\t]+(?:(?![ \\t\"])|' +\n quotesSource +\n ')' +\n // line continuation\n '|' +\n /\\\\[\\r\\n]+(?:[#;].*[\\r\\n]+)*(?![#;])/.source) +\n ')*'\n ),\n lookbehind: true,\n greedy: true,\n alias: 'attr-value',\n inside: {\n comment: comment,\n quoted: {\n pattern: RegExp(/(^|\\s)/.source + quotesSource),\n lookbehind: true,\n greedy: true\n },\n punctuation: /\\\\$/m,\n boolean: {\n pattern: /^(?:false|no|off|on|true|yes)$/,\n greedy: true\n }\n }\n },\n punctuation: /=/\n }\n })(Prism)\n}\n","// @ts-nocheck\nt4Templating.displayName = 't4-templating'\nt4Templating.aliases = []\n\n/** @type {import('../core.js').Syntax} */\nexport default function t4Templating(Prism) {\n ;(function (Prism) {\n function createBlock(prefix, inside, contentAlias) {\n return {\n pattern: RegExp('<#' + prefix + '[\\\\s\\\\S]*?#>'),\n alias: 'block',\n inside: {\n delimiter: {\n pattern: RegExp('^<#' + prefix + '|#>$'),\n alias: 'important'\n },\n content: {\n pattern: /[\\s\\S]+/,\n inside: inside,\n alias: contentAlias\n }\n }\n }\n }\n function createT4(insideLang) {\n var grammar = Prism.languages[insideLang]\n var className = 'language-' + insideLang\n return {\n block: {\n pattern: /<#[\\s\\S]+?#>/,\n inside: {\n directive: createBlock('@', {\n 'attr-value': {\n pattern: /=(?:(\"|')(?:\\\\[\\s\\S]|(?!\\1)[^\\\\])*\\1|[^\\s'\">=]+)/,\n inside: {\n punctuation: /^=|^[\"']|[\"']$/\n }\n },\n keyword: /\\b\\w+(?=\\s)/,\n 'attr-name': /\\b\\w+/\n }),\n expression: createBlock('=', grammar, className),\n 'class-feature': createBlock('\\\\+', grammar, className),\n standard: createBlock('', grammar, className)\n }\n }\n }\n }\n Prism.languages['t4-templating'] = Object.defineProperty({}, 'createT4', {\n value: createT4\n })\n })(Prism)\n}\n","// @ts-nocheck\nimport refractorCsharp from './csharp.js'\nimport refractorT4Templating from './t4-templating.js'\nt4Cs.displayName = 't4-cs'\nt4Cs.aliases = ['t4']\n\n/** @type {import('../core.js').Syntax} */\nexport default function t4Cs(Prism) {\n Prism.register(refractorCsharp)\n Prism.register(refractorT4Templating)\n Prism.languages.t4 = Prism.languages['t4-cs'] =\n Prism.languages['t4-templating'].createT4('csharp')\n}\n","// @ts-nocheck\nimport refractorT4Templating from './t4-templating.js'\nimport refractorVbnet from './vbnet.js'\nt4Vb.displayName = 't4-vb'\nt4Vb.aliases = []\n\n/** @type {import('../core.js').Syntax} */\nexport default function t4Vb(Prism) {\n Prism.register(refractorT4Templating)\n Prism.register(refractorVbnet)\n Prism.languages['t4-vb'] = Prism.languages['t4-templating'].createT4('vbnet')\n}\n","// @ts-nocheck\nimport refractorYaml from './yaml.js'\ntap.displayName = 'tap'\ntap.aliases = []\n\n/** @type {import('../core.js').Syntax} */\nexport default function tap(Prism) {\n Prism.register(refractorYaml)\n // https://en.wikipedia.org/wiki/Test_Anything_Protocol\n\n Prism.languages.tap = {\n fail: /not ok[^#{\\n\\r]*/,\n pass: /ok[^#{\\n\\r]*/,\n pragma: /pragma [+-][a-z]+/,\n bailout: /bail out!.*/i,\n version: /TAP version \\d+/i,\n plan: /\\b\\d+\\.\\.\\d+(?: +#.*)?/,\n subtest: {\n pattern: /# Subtest(?:: .*)?/,\n greedy: true\n },\n punctuation: /[{}]/,\n directive: /#.*/,\n yamlish: {\n pattern: /(^[ \\t]*)---[\\s\\S]*?[\\r\\n][ \\t]*\\.\\.\\.$/m,\n lookbehind: true,\n inside: Prism.languages.yaml,\n alias: 'language-yaml'\n }\n }\n}\n","// @ts-nocheck\ntcl.displayName = 'tcl'\ntcl.aliases = []\n\n/** @type {import('../core.js').Syntax} */\nexport default function tcl(Prism) {\n Prism.languages.tcl = {\n comment: {\n pattern: /(^|[^\\\\])#.*/,\n lookbehind: true\n },\n string: {\n pattern: /\"(?:[^\"\\\\\\r\\n]|\\\\(?:\\r\\n|[\\s\\S]))*\"/,\n greedy: true\n },\n variable: [\n {\n pattern: /(\\$)(?:::)?(?:[a-zA-Z0-9]+::)*\\w+/,\n lookbehind: true\n },\n {\n pattern: /(\\$)\\{[^}]+\\}/,\n lookbehind: true\n },\n {\n pattern: /(^[\\t ]*set[ \\t]+)(?:::)?(?:[a-zA-Z0-9]+::)*\\w+/m,\n lookbehind: true\n }\n ],\n function: {\n pattern: /(^[\\t ]*proc[ \\t]+)\\S+/m,\n lookbehind: true\n },\n builtin: [\n {\n pattern:\n /(^[\\t ]*)(?:break|class|continue|error|eval|exit|for|foreach|if|proc|return|switch|while)\\b/m,\n lookbehind: true\n },\n /\\b(?:else|elseif)\\b/\n ],\n scope: {\n pattern: /(^[\\t ]*)(?:global|upvar|variable)\\b/m,\n lookbehind: true,\n alias: 'constant'\n },\n keyword: {\n pattern:\n /(^[\\t ]*|\\[)(?:Safe_Base|Tcl|after|append|apply|array|auto_(?:execok|import|load|mkindex|qualify|reset)|automkindex_old|bgerror|binary|catch|cd|chan|clock|close|concat|dde|dict|encoding|eof|exec|expr|fblocked|fconfigure|fcopy|file(?:event|name)?|flush|gets|glob|history|http|incr|info|interp|join|lappend|lassign|lindex|linsert|list|llength|load|lrange|lrepeat|lreplace|lreverse|lsearch|lset|lsort|math(?:func|op)|memory|msgcat|namespace|open|package|parray|pid|pkg_mkIndex|platform|puts|pwd|re_syntax|read|refchan|regexp|registry|regsub|rename|scan|seek|set|socket|source|split|string|subst|tcl(?:_endOfWord|_findLibrary|startOf(?:Next|Previous)Word|test|vars|wordBreak(?:After|Before))|tell|time|tm|trace|unknown|unload|unset|update|uplevel|vwait)\\b/m,\n lookbehind: true\n },\n operator:\n /!=?|\\*\\*?|==|&&?|\\|\\|?|<[=<]?|>[=>]?|[-+~\\/%?^]|\\b(?:eq|in|ne|ni)\\b/,\n punctuation: /[{}()\\[\\]]/\n }\n}\n","// @ts-nocheck\nimport refractorClike from './clike.js'\nimport refractorMarkupTemplating from './markup-templating.js'\ntt2.displayName = 'tt2'\ntt2.aliases = []\n\n/** @type {import('../core.js').Syntax} */\nexport default function tt2(Prism) {\n Prism.register(refractorClike)\n Prism.register(refractorMarkupTemplating)\n ;(function (Prism) {\n Prism.languages.tt2 = Prism.languages.extend('clike', {\n comment: /#.*|\\[%#[\\s\\S]*?%\\]/,\n keyword:\n /\\b(?:BLOCK|CALL|CASE|CATCH|CLEAR|DEBUG|DEFAULT|ELSE|ELSIF|END|FILTER|FINAL|FOREACH|GET|IF|IN|INCLUDE|INSERT|LAST|MACRO|META|NEXT|PERL|PROCESS|RAWPERL|RETURN|SET|STOP|SWITCH|TAGS|THROW|TRY|UNLESS|USE|WHILE|WRAPPER)\\b/,\n punctuation: /[[\\]{},()]/\n })\n Prism.languages.insertBefore('tt2', 'number', {\n operator: /=[>=]?|!=?|<=?|>=?|&&|\\|\\|?|\\b(?:and|not|or)\\b/,\n variable: {\n pattern: /\\b[a-z]\\w*(?:\\s*\\.\\s*(?:\\d+|\\$?[a-z]\\w*))*\\b/i\n }\n })\n Prism.languages.insertBefore('tt2', 'keyword', {\n delimiter: {\n pattern: /^(?:\\[%|%%)-?|-?%\\]$/,\n alias: 'punctuation'\n }\n })\n Prism.languages.insertBefore('tt2', 'string', {\n 'single-quoted-string': {\n pattern: /'[^\\\\']*(?:\\\\[\\s\\S][^\\\\']*)*'/,\n greedy: true,\n alias: 'string'\n },\n 'double-quoted-string': {\n pattern: /\"[^\\\\\"]*(?:\\\\[\\s\\S][^\\\\\"]*)*\"/,\n greedy: true,\n alias: 'string',\n inside: {\n variable: {\n pattern: /\\$(?:[a-z]\\w*(?:\\.(?:\\d+|\\$?[a-z]\\w*))*)/i\n }\n }\n }\n })\n\n // The different types of TT2 strings \"replace\" the C-like standard string\n delete Prism.languages.tt2.string\n Prism.hooks.add('before-tokenize', function (env) {\n var tt2Pattern = /\\[%[\\s\\S]+?%\\]/g\n Prism.languages['markup-templating'].buildPlaceholders(\n env,\n 'tt2',\n tt2Pattern\n )\n })\n Prism.hooks.add('after-tokenize', function (env) {\n Prism.languages['markup-templating'].tokenizePlaceholders(env, 'tt2')\n })\n })(Prism)\n}\n","// @ts-nocheck\ntoml.displayName = 'toml'\ntoml.aliases = []\n\n/** @type {import('../core.js').Syntax} */\nexport default function toml(Prism) {\n ;(function (Prism) {\n var key = /(?:[\\w-]+|'[^'\\n\\r]*'|\"(?:\\\\.|[^\\\\\"\\r\\n])*\")/.source\n\n /**\n * @param {string} pattern\n */\n function insertKey(pattern) {\n return pattern.replace(/__/g, function () {\n return key\n })\n }\n Prism.languages.toml = {\n comment: {\n pattern: /#.*/,\n greedy: true\n },\n table: {\n pattern: RegExp(\n insertKey(\n /(^[\\t ]*\\[\\s*(?:\\[\\s*)?)__(?:\\s*\\.\\s*__)*(?=\\s*\\])/.source\n ),\n 'm'\n ),\n lookbehind: true,\n greedy: true,\n alias: 'class-name'\n },\n key: {\n pattern: RegExp(\n insertKey(/(^[\\t ]*|[{,]\\s*)__(?:\\s*\\.\\s*__)*(?=\\s*=)/.source),\n 'm'\n ),\n lookbehind: true,\n greedy: true,\n alias: 'property'\n },\n string: {\n pattern:\n /\"\"\"(?:\\\\[\\s\\S]|[^\\\\])*?\"\"\"|'''[\\s\\S]*?'''|'[^'\\n\\r]*'|\"(?:\\\\.|[^\\\\\"\\r\\n])*\"/,\n greedy: true\n },\n date: [\n {\n // Offset Date-Time, Local Date-Time, Local Date\n pattern:\n /\\b\\d{4}-\\d{2}-\\d{2}(?:[T\\s]\\d{2}:\\d{2}:\\d{2}(?:\\.\\d+)?(?:Z|[+-]\\d{2}:\\d{2})?)?\\b/i,\n alias: 'number'\n },\n {\n // Local Time\n pattern: /\\b\\d{2}:\\d{2}:\\d{2}(?:\\.\\d+)?\\b/,\n alias: 'number'\n }\n ],\n number:\n /(?:\\b0(?:x[\\da-zA-Z]+(?:_[\\da-zA-Z]+)*|o[0-7]+(?:_[0-7]+)*|b[10]+(?:_[10]+)*))\\b|[-+]?\\b\\d+(?:_\\d+)*(?:\\.\\d+(?:_\\d+)*)?(?:[eE][+-]?\\d+(?:_\\d+)*)?\\b|[-+]?\\b(?:inf|nan)\\b/,\n boolean: /\\b(?:false|true)\\b/,\n punctuation: /[.,=[\\]{}]/\n }\n })(Prism)\n}\n","// @ts-nocheck\ntremor.displayName = 'tremor'\ntremor.aliases = ['trickle', 'troy']\n\n/** @type {import('../core.js').Syntax} */\nexport default function tremor(Prism) {\n ;(function (Prism) {\n Prism.languages.tremor = {\n comment: {\n pattern: /(^|[^\\\\])(?:\\/\\*[\\s\\S]*?\\*\\/|(?:--|\\/\\/|#).*)/,\n lookbehind: true\n },\n 'interpolated-string': null,\n // see below\n extractor: {\n pattern: /\\b[a-z_]\\w*\\|(?:[^\\r\\n\\\\|]|\\\\(?:\\r\\n|[\\s\\S]))*\\|/i,\n greedy: true,\n inside: {\n regex: {\n pattern: /(^re)\\|[\\s\\S]+/,\n lookbehind: true\n },\n function: /^\\w+/,\n value: /\\|[\\s\\S]+/\n }\n },\n identifier: {\n pattern: /`[^`]*`/,\n greedy: true\n },\n function: /\\b[a-z_]\\w*(?=\\s*(?:::\\s*<|\\())\\b/,\n keyword:\n /\\b(?:args|as|by|case|config|connect|connector|const|copy|create|default|define|deploy|drop|each|emit|end|erase|event|flow|fn|for|from|group|having|insert|into|intrinsic|let|links|match|merge|mod|move|of|operator|patch|pipeline|recur|script|select|set|sliding|state|stream|to|tumbling|update|use|when|where|window|with)\\b/,\n boolean: /\\b(?:false|null|true)\\b/i,\n number:\n /\\b(?:0b[01_]*|0x[0-9a-fA-F_]*|\\d[\\d_]*(?:\\.\\d[\\d_]*)?(?:[Ee][+-]?[\\d_]+)?)\\b/,\n 'pattern-punctuation': {\n pattern: /%(?=[({[])/,\n alias: 'punctuation'\n },\n operator:\n /[-+*\\/%~!^]=?|=[=>]?|&[&=]?|\\|[|=]?|<<?=?|>>?>?=?|(?:absent|and|not|or|present|xor)\\b/,\n punctuation: /::|[;\\[\\]()\\{\\},.:]/\n }\n var interpolationPattern =\n /#\\{(?:[^\"{}]|\\{[^{}]*\\}|\"(?:[^\"\\\\\\r\\n]|\\\\(?:\\r\\n|[\\s\\S]))*\")*\\}/.source\n Prism.languages.tremor['interpolated-string'] = {\n pattern: RegExp(\n /(^|[^\\\\])/.source +\n '(?:' +\n '\"\"\"(?:' +\n /[^\"\\\\#]|\\\\[\\s\\S]|\"(?!\"\")|#(?!\\{)/.source +\n '|' +\n interpolationPattern +\n ')*\"\"\"' +\n '|' +\n '\"(?:' +\n /[^\"\\\\\\r\\n#]|\\\\(?:\\r\\n|[\\s\\S])|#(?!\\{)/.source +\n '|' +\n interpolationPattern +\n ')*\"' +\n ')'\n ),\n lookbehind: true,\n greedy: true,\n inside: {\n interpolation: {\n pattern: RegExp(interpolationPattern),\n inside: {\n punctuation: /^#\\{|\\}$/,\n expression: {\n pattern: /[\\s\\S]+/,\n inside: Prism.languages.tremor\n }\n }\n },\n string: /[\\s\\S]+/\n }\n }\n Prism.languages.troy = Prism.languages['tremor']\n Prism.languages.trickle = Prism.languages['tremor']\n })(Prism)\n}\n","// @ts-nocheck\ntyposcript.displayName = 'typoscript'\ntyposcript.aliases = ['tsconfig']\n\n/** @type {import('../core.js').Syntax} */\nexport default function typoscript(Prism) {\n ;(function (Prism) {\n var keywords =\n /\\b(?:ACT|ACTIFSUB|CARRAY|CASE|CLEARGIF|COA|COA_INT|CONSTANTS|CONTENT|CUR|EDITPANEL|EFFECT|EXT|FILE|FLUIDTEMPLATE|FORM|FRAME|FRAMESET|GIFBUILDER|GMENU|GMENU_FOLDOUT|GMENU_LAYERS|GP|HMENU|HRULER|HTML|IENV|IFSUB|IMAGE|IMGMENU|IMGMENUITEM|IMGTEXT|IMG_RESOURCE|INCLUDE_TYPOSCRIPT|JSMENU|JSMENUITEM|LLL|LOAD_REGISTER|NO|PAGE|RECORDS|RESTORE_REGISTER|TEMPLATE|TEXT|TMENU|TMENUITEM|TMENU_LAYERS|USER|USER_INT|_GIFBUILDER|global|globalString|globalVar)\\b/\n Prism.languages.typoscript = {\n comment: [\n {\n // multiline comments /* */\n pattern: /(^|[^\\\\])\\/\\*[\\s\\S]*?(?:\\*\\/|$)/,\n lookbehind: true\n },\n {\n // double-slash comments - ignored when backslashes or colon is found in front\n // also ignored whenever directly after an equal-sign, because it would probably be an url without protocol\n pattern: /(^|[^\\\\:= \\t]|(?:^|[^= \\t])[ \\t]+)\\/\\/.*/,\n lookbehind: true,\n greedy: true\n },\n {\n // hash comments - ignored when leading quote is found for hex colors in strings\n pattern: /(^|[^\"'])#.*/,\n lookbehind: true,\n greedy: true\n }\n ],\n function: [\n {\n // old include style\n pattern:\n /<INCLUDE_TYPOSCRIPT:\\s*source\\s*=\\s*(?:\"[^\"\\r\\n]*\"|'[^'\\r\\n]*')\\s*>/,\n inside: {\n string: {\n pattern: /\"[^\"\\r\\n]*\"|'[^'\\r\\n]*'/,\n inside: {\n keyword: keywords\n }\n },\n keyword: {\n pattern: /INCLUDE_TYPOSCRIPT/\n }\n }\n },\n {\n // new include style\n pattern: /@import\\s*(?:\"[^\"\\r\\n]*\"|'[^'\\r\\n]*')/,\n inside: {\n string: /\"[^\"\\r\\n]*\"|'[^'\\r\\n]*'/\n }\n }\n ],\n string: {\n pattern: /^([^=]*=[< ]?)(?:(?!\\]\\n).)*/,\n lookbehind: true,\n inside: {\n function: /\\{\\$.*\\}/,\n // constants include\n keyword: keywords,\n number: /^\\d+$/,\n punctuation: /[,|:]/\n }\n },\n keyword: keywords,\n number: {\n // special highlighting for indexes of arrays in tags\n pattern: /\\b\\d+\\s*[.{=]/,\n inside: {\n operator: /[.{=]/\n }\n },\n tag: {\n pattern: /\\.?[-\\w\\\\]+\\.?/,\n inside: {\n punctuation: /\\./\n }\n },\n punctuation: /[{}[\\];(),.:|]/,\n operator: /[<>]=?|[!=]=?=?|--?|\\+\\+?|&&?|\\|\\|?|[?*/~^%]/\n }\n Prism.languages.tsconfig = Prism.languages.typoscript\n })(Prism)\n}\n","// @ts-nocheck\nunrealscript.displayName = 'unrealscript'\nunrealscript.aliases = ['uc', 'uscript']\n\n/** @type {import('../core.js').Syntax} */\nexport default function unrealscript(Prism) {\n Prism.languages.unrealscript = {\n comment: /\\/\\/.*|\\/\\*[\\s\\S]*?\\*\\//,\n string: {\n pattern: /([\"'])(?:\\\\(?:\\r\\n|[\\s\\S])|(?!\\1)[^\\\\\\r\\n])*\\1/,\n greedy: true\n },\n category: {\n pattern:\n /(\\b(?:(?:autoexpand|hide|show)categories|var)\\s*\\()[^()]+(?=\\))/,\n lookbehind: true,\n greedy: true,\n alias: 'property'\n },\n metadata: {\n pattern: /(\\w\\s*)<\\s*\\w+\\s*=[^<>|=\\r\\n]+(?:\\|\\s*\\w+\\s*=[^<>|=\\r\\n]+)*>/,\n lookbehind: true,\n greedy: true,\n inside: {\n property: /\\b\\w+(?=\\s*=)/,\n operator: /=/,\n punctuation: /[<>|]/\n }\n },\n macro: {\n pattern: /`\\w+/,\n alias: 'property'\n },\n 'class-name': {\n pattern:\n /(\\b(?:class|enum|extends|interface|state(?:\\(\\))?|struct|within)\\s+)\\w+/,\n lookbehind: true\n },\n keyword:\n /\\b(?:abstract|actor|array|auto|autoexpandcategories|bool|break|byte|case|class|classgroup|client|coerce|collapsecategories|config|const|continue|default|defaultproperties|delegate|dependson|deprecated|do|dontcollapsecategories|editconst|editinlinenew|else|enum|event|exec|export|extends|final|float|for|forcescriptorder|foreach|function|goto|guid|hidecategories|hidedropdown|if|ignores|implements|inherits|input|int|interface|iterator|latent|local|material|name|native|nativereplication|noexport|nontransient|noteditinlinenew|notplaceable|operator|optional|out|pawn|perobjectconfig|perobjectlocalized|placeable|postoperator|preoperator|private|protected|reliable|replication|return|server|showcategories|simulated|singular|state|static|string|struct|structdefault|structdefaultproperties|switch|texture|transient|travel|unreliable|until|var|vector|while|within)\\b/,\n function: /\\b[a-z_]\\w*(?=\\s*\\()/i,\n boolean: /\\b(?:false|true)\\b/,\n number: /\\b0x[\\da-f]+\\b|(?:\\b\\d+(?:\\.\\d*)?|\\B\\.\\d+)(?:e[+-]?\\d+)?/i,\n // https://docs.unrealengine.com/udk/Three/UnrealScriptExpressions.html\n operator:\n />>|<<|--|\\+\\+|\\*\\*|[-+*/~!=<>$@]=?|&&?|\\|\\|?|\\^\\^?|[?:%]|\\b(?:ClockwiseFrom|Cross|Dot)\\b/,\n punctuation: /[()[\\]{};,.]/\n }\n Prism.languages.uc = Prism.languages.uscript = Prism.languages.unrealscript\n}\n","// @ts-nocheck\nuorazor.displayName = 'uorazor'\nuorazor.aliases = []\n\n/** @type {import('../core.js').Syntax} */\nexport default function uorazor(Prism) {\n Prism.languages.uorazor = {\n 'comment-hash': {\n pattern: /#.*/,\n alias: 'comment',\n greedy: true\n },\n 'comment-slash': {\n pattern: /\\/\\/.*/,\n alias: 'comment',\n greedy: true\n },\n string: {\n pattern: /(\"|')(?:\\\\.|(?!\\1)[^\\\\\\r\\n])*\\1/,\n inside: {\n punctuation: /^['\"]|['\"]$/\n },\n greedy: true\n },\n 'source-layers': {\n pattern:\n /\\b(?:arms|backpack|blue|bracelet|cancel|clear|cloak|criminal|earrings|enemy|facialhair|friend|friendly|gloves|gray|grey|ground|hair|head|innerlegs|innertorso|innocent|lefthand|middletorso|murderer|neck|nonfriendly|onehandedsecondary|outerlegs|outertorso|pants|red|righthand|ring|self|shirt|shoes|talisman|waist)\\b/i,\n alias: 'function'\n },\n 'source-commands': {\n pattern:\n /\\b(?:alliance|attack|cast|clearall|clearignore|clearjournal|clearlist|clearsysmsg|createlist|createtimer|dclick|dclicktype|dclickvar|dress|dressconfig|drop|droprelloc|emote|getlabel|guild|gumpclose|gumpresponse|hotkey|ignore|lasttarget|lift|lifttype|menu|menuresponse|msg|org|organize|organizer|overhead|pause|poplist|potion|promptresponse|pushlist|removelist|removetimer|rename|restock|say|scav|scavenger|script|setability|setlasttarget|setskill|settimer|setvar|sysmsg|target|targetloc|targetrelloc|targettype|undress|unignore|unsetvar|useobject|useonce|useskill|usetype|virtue|wait|waitforgump|waitformenu|waitforprompt|waitforstat|waitforsysmsg|waitfortarget|walk|wfsysmsg|wft|whisper|yell)\\b/,\n alias: 'function'\n },\n 'tag-name': {\n pattern: /(^\\{%-?\\s*)\\w+/,\n lookbehind: true,\n alias: 'keyword'\n },\n delimiter: {\n pattern: /^\\{[{%]-?|-?[%}]\\}$/,\n alias: 'punctuation'\n },\n function:\n /\\b(?:atlist|close|closest|count|counter|counttype|dead|dex|diffhits|diffmana|diffstam|diffweight|find|findbuff|finddebuff|findlayer|findtype|findtypelist|followers|gumpexists|hidden|hits|hp|hue|human|humanoid|ingump|inlist|insysmessage|insysmsg|int|invul|lhandempty|list|listexists|mana|maxhits|maxhp|maxmana|maxstam|maxweight|monster|mounted|name|next|noto|paralyzed|poisoned|position|prev|previous|queued|rand|random|rhandempty|skill|stam|str|targetexists|timer|timerexists|varexist|warmode|weight)\\b/,\n keyword:\n /\\b(?:and|as|break|continue|else|elseif|endfor|endif|endwhile|for|if|loop|not|or|replay|stop|while)\\b/,\n boolean: /\\b(?:false|null|true)\\b/,\n number: /\\b0x[\\dA-Fa-f]+|(?:\\b\\d+(?:\\.\\d*)?|\\B\\.\\d+)(?:[Ee][-+]?\\d+)?/,\n operator: [\n {\n pattern:\n /(\\s)(?:and|b-and|b-or|b-xor|ends with|in|is|matches|not|or|same as|starts with)(?=\\s)/,\n lookbehind: true\n },\n /[=<>]=?|!=|\\*\\*?|\\/\\/?|\\?:?|[-+~%|]/\n ],\n punctuation: /[()\\[\\]{}:.,]/\n }\n}\n","// @ts-nocheck\nimport refractorClike from './clike.js'\nv.displayName = 'v'\nv.aliases = []\n\n/** @type {import('../core.js').Syntax} */\nexport default function v(Prism) {\n Prism.register(refractorClike)\n ;(function (Prism) {\n var interpolationExpr = {\n pattern: /[\\s\\S]+/,\n inside: null\n }\n Prism.languages.v = Prism.languages.extend('clike', {\n string: {\n pattern: /r?([\"'])(?:\\\\(?:\\r\\n|[\\s\\S])|(?!\\1)[^\\\\\\r\\n])*\\1/,\n alias: 'quoted-string',\n greedy: true,\n inside: {\n interpolation: {\n pattern:\n /((?:^|[^\\\\])(?:\\\\{2})*)\\$(?:\\{[^{}]*\\}|\\w+(?:\\.\\w+(?:\\([^\\(\\)]*\\))?|\\[[^\\[\\]]+\\])*)/,\n lookbehind: true,\n inside: {\n 'interpolation-variable': {\n pattern: /^\\$\\w[\\s\\S]*$/,\n alias: 'variable'\n },\n 'interpolation-punctuation': {\n pattern: /^\\$\\{|\\}$/,\n alias: 'punctuation'\n },\n 'interpolation-expression': interpolationExpr\n }\n }\n }\n },\n 'class-name': {\n pattern: /(\\b(?:enum|interface|struct|type)\\s+)(?:C\\.)?\\w+/,\n lookbehind: true\n },\n keyword:\n /(?:\\b(?:__global|as|asm|assert|atomic|break|chan|const|continue|defer|else|embed|enum|fn|for|go(?:to)?|if|import|in|interface|is|lock|match|module|mut|none|or|pub|return|rlock|select|shared|sizeof|static|struct|type(?:of)?|union|unsafe)|\\$(?:else|for|if)|#(?:flag|include))\\b/,\n number:\n /\\b(?:0x[a-f\\d]+(?:_[a-f\\d]+)*|0b[01]+(?:_[01]+)*|0o[0-7]+(?:_[0-7]+)*|\\d+(?:_\\d+)*(?:\\.\\d+(?:_\\d+)*)?)\\b/i,\n operator:\n /~|\\?|[*\\/%^!=]=?|\\+[=+]?|-[=-]?|\\|[=|]?|&(?:=|&|\\^=?)?|>(?:>=?|=)?|<(?:<=?|=|-)?|:=|\\.\\.\\.?/,\n builtin:\n /\\b(?:any(?:_float|_int)?|bool|byte(?:ptr)?|charptr|f(?:32|64)|i(?:8|16|64|128|nt)|rune|size_t|string|u(?:16|32|64|128)|voidptr)\\b/\n })\n interpolationExpr.inside = Prism.languages.v\n Prism.languages.insertBefore('v', 'string', {\n char: {\n pattern: /`(?:\\\\`|\\\\?[^`]{1,2})`/,\n // using {1,2} instead of `u` flag for compatibility\n alias: 'rune'\n }\n })\n Prism.languages.insertBefore('v', 'operator', {\n attribute: {\n pattern:\n /(^[\\t ]*)\\[(?:deprecated|direct_array_access|flag|inline|live|ref_only|typedef|unsafe_fn|windows_stdcall)\\]/m,\n lookbehind: true,\n alias: 'annotation',\n inside: {\n punctuation: /[\\[\\]]/,\n keyword: /\\w+/\n }\n },\n generic: {\n pattern: /<\\w+>(?=\\s*[\\)\\{])/,\n inside: {\n punctuation: /[<>]/,\n 'class-name': /\\w+/\n }\n }\n })\n Prism.languages.insertBefore('v', 'function', {\n 'generic-function': {\n // e.g. foo<T>( ...\n pattern: /\\b\\w+\\s*<\\w+>(?=\\()/,\n inside: {\n function: /^\\w+/,\n generic: {\n pattern: /<\\w+>/,\n inside: Prism.languages.v.generic.inside\n }\n }\n }\n })\n })(Prism)\n}\n","// @ts-nocheck\nimport refractorClike from './clike.js'\nvala.displayName = 'vala'\nvala.aliases = []\n\n/** @type {import('../core.js').Syntax} */\nexport default function vala(Prism) {\n Prism.register(refractorClike)\n Prism.languages.vala = Prism.languages.extend('clike', {\n // Classes copied from prism-csharp\n 'class-name': [\n {\n // (Foo bar, Bar baz)\n pattern: /\\b[A-Z]\\w*(?:\\.\\w+)*\\b(?=(?:\\?\\s+|\\*?\\s+\\*?)\\w)/,\n inside: {\n punctuation: /\\./\n }\n },\n {\n // [Foo]\n pattern: /(\\[)[A-Z]\\w*(?:\\.\\w+)*\\b/,\n lookbehind: true,\n inside: {\n punctuation: /\\./\n }\n },\n {\n // class Foo : Bar\n pattern:\n /(\\b(?:class|interface)\\s+[A-Z]\\w*(?:\\.\\w+)*\\s*:\\s*)[A-Z]\\w*(?:\\.\\w+)*\\b/,\n lookbehind: true,\n inside: {\n punctuation: /\\./\n }\n },\n {\n // class Foo\n pattern:\n /((?:\\b(?:class|enum|interface|new|struct)\\s+)|(?:catch\\s+\\())[A-Z]\\w*(?:\\.\\w+)*\\b/,\n lookbehind: true,\n inside: {\n punctuation: /\\./\n }\n }\n ],\n keyword:\n /\\b(?:abstract|as|assert|async|base|bool|break|case|catch|char|class|const|construct|continue|default|delegate|delete|do|double|dynamic|else|ensures|enum|errordomain|extern|finally|float|for|foreach|get|if|in|inline|int|int16|int32|int64|int8|interface|internal|is|lock|long|namespace|new|null|out|override|owned|params|private|protected|public|ref|requires|return|set|short|signal|sizeof|size_t|ssize_t|static|string|struct|switch|this|throw|throws|try|typeof|uchar|uint|uint16|uint32|uint64|uint8|ulong|unichar|unowned|ushort|using|value|var|virtual|void|volatile|weak|while|yield)\\b/i,\n function: /\\b\\w+(?=\\s*\\()/,\n number:\n /(?:\\b0x[\\da-f]+\\b|(?:\\b\\d+(?:\\.\\d*)?|\\B\\.\\d+)(?:e[+-]?\\d+)?)(?:f|u?l?)?/i,\n operator:\n /\\+\\+|--|&&|\\|\\||<<=?|>>=?|=>|->|~|[+\\-*\\/%&^|=!<>]=?|\\?\\??|\\.\\.\\./,\n punctuation: /[{}[\\];(),.:]/,\n constant: /\\b[A-Z0-9_]+\\b/\n })\n Prism.languages.insertBefore('vala', 'string', {\n 'raw-string': {\n pattern: /\"\"\"[\\s\\S]*?\"\"\"/,\n greedy: true,\n alias: 'string'\n },\n 'template-string': {\n pattern: /@\"[\\s\\S]*?\"/,\n greedy: true,\n inside: {\n interpolation: {\n pattern: /\\$(?:\\([^)]*\\)|[a-zA-Z]\\w*)/,\n inside: {\n delimiter: {\n pattern: /^\\$\\(?|\\)$/,\n alias: 'punctuation'\n },\n rest: Prism.languages.vala\n }\n },\n string: /[\\s\\S]+/\n }\n }\n })\n Prism.languages.insertBefore('vala', 'keyword', {\n regex: {\n pattern:\n /\\/(?:\\[(?:[^\\]\\\\\\r\\n]|\\\\.)*\\]|\\\\.|[^/\\\\\\[\\r\\n])+\\/[imsx]{0,4}(?=\\s*(?:$|[\\r\\n,.;})\\]]))/,\n greedy: true,\n inside: {\n 'regex-source': {\n pattern: /^(\\/)[\\s\\S]+(?=\\/[a-z]*$)/,\n lookbehind: true,\n alias: 'language-regex',\n inside: Prism.languages.regex\n },\n 'regex-delimiter': /^\\//,\n 'regex-flags': /^[a-z]+$/\n }\n }\n })\n}\n","// @ts-nocheck\nimport refractorMarkup from './markup.js'\nvelocity.displayName = 'velocity'\nvelocity.aliases = []\n\n/** @type {import('../core.js').Syntax} */\nexport default function velocity(Prism) {\n Prism.register(refractorMarkup)\n ;(function (Prism) {\n Prism.languages.velocity = Prism.languages.extend('markup', {})\n var velocity = {\n variable: {\n pattern:\n /(^|[^\\\\](?:\\\\\\\\)*)\\$!?(?:[a-z][\\w-]*(?:\\([^)]*\\))?(?:\\.[a-z][\\w-]*(?:\\([^)]*\\))?|\\[[^\\]]+\\])*|\\{[^}]+\\})/i,\n lookbehind: true,\n inside: {} // See below\n },\n\n string: {\n pattern: /\"[^\"]*\"|'[^']*'/,\n greedy: true\n },\n number: /\\b\\d+\\b/,\n boolean: /\\b(?:false|true)\\b/,\n operator:\n /[=!<>]=?|[+*/%-]|&&|\\|\\||\\.\\.|\\b(?:eq|g[et]|l[et]|n(?:e|ot))\\b/,\n punctuation: /[(){}[\\]:,.]/\n }\n velocity.variable.inside = {\n string: velocity['string'],\n function: {\n pattern: /([^\\w-])[a-z][\\w-]*(?=\\()/,\n lookbehind: true\n },\n number: velocity['number'],\n boolean: velocity['boolean'],\n punctuation: velocity['punctuation']\n }\n Prism.languages.insertBefore('velocity', 'comment', {\n unparsed: {\n pattern: /(^|[^\\\\])#\\[\\[[\\s\\S]*?\\]\\]#/,\n lookbehind: true,\n greedy: true,\n inside: {\n punctuation: /^#\\[\\[|\\]\\]#$/\n }\n },\n 'velocity-comment': [\n {\n pattern: /(^|[^\\\\])#\\*[\\s\\S]*?\\*#/,\n lookbehind: true,\n greedy: true,\n alias: 'comment'\n },\n {\n pattern: /(^|[^\\\\])##.*/,\n lookbehind: true,\n greedy: true,\n alias: 'comment'\n }\n ],\n directive: {\n pattern:\n /(^|[^\\\\](?:\\\\\\\\)*)#@?(?:[a-z][\\w-]*|\\{[a-z][\\w-]*\\})(?:\\s*\\((?:[^()]|\\([^()]*\\))*\\))?/i,\n lookbehind: true,\n inside: {\n keyword: {\n pattern: /^#@?(?:[a-z][\\w-]*|\\{[a-z][\\w-]*\\})|\\bin\\b/,\n inside: {\n punctuation: /[{}]/\n }\n },\n rest: velocity\n }\n },\n variable: velocity['variable']\n })\n Prism.languages.velocity['tag'].inside['attr-value'].inside.rest =\n Prism.languages.velocity\n })(Prism)\n}\n","// @ts-nocheck\nverilog.displayName = 'verilog'\nverilog.aliases = []\n\n/** @type {import('../core.js').Syntax} */\nexport default function verilog(Prism) {\n Prism.languages.verilog = {\n comment: {\n pattern: /\\/\\/.*|\\/\\*[\\s\\S]*?\\*\\//,\n greedy: true\n },\n string: {\n pattern: /\"(?:\\\\(?:\\r\\n|[\\s\\S])|[^\"\\\\\\r\\n])*\"/,\n greedy: true\n },\n 'kernel-function': {\n // support for any kernel function (ex: $display())\n pattern: /\\B\\$\\w+\\b/,\n alias: 'property'\n },\n // support for user defined constants (ex: `define)\n constant: /\\B`\\w+\\b/,\n function: /\\b\\w+(?=\\()/,\n // support for verilog and system verilog keywords\n keyword:\n /\\b(?:alias|and|assert|assign|assume|automatic|before|begin|bind|bins|binsof|bit|break|buf|bufif0|bufif1|byte|case|casex|casez|cell|chandle|class|clocking|cmos|config|const|constraint|context|continue|cover|covergroup|coverpoint|cross|deassign|default|defparam|design|disable|dist|do|edge|else|end|endcase|endclass|endclocking|endconfig|endfunction|endgenerate|endgroup|endinterface|endmodule|endpackage|endprimitive|endprogram|endproperty|endsequence|endspecify|endtable|endtask|enum|event|expect|export|extends|extern|final|first_match|for|force|foreach|forever|fork|forkjoin|function|generate|genvar|highz0|highz1|if|iff|ifnone|ignore_bins|illegal_bins|import|incdir|include|initial|inout|input|inside|instance|int|integer|interface|intersect|join|join_any|join_none|large|liblist|library|local|localparam|logic|longint|macromodule|matches|medium|modport|module|nand|negedge|new|nmos|nor|noshowcancelled|not|notif0|notif1|null|or|output|package|packed|parameter|pmos|posedge|primitive|priority|program|property|protected|pull0|pull1|pulldown|pullup|pulsestyle_ondetect|pulsestyle_onevent|pure|rand|randc|randcase|randsequence|rcmos|real|realtime|ref|reg|release|repeat|return|rnmos|rpmos|rtran|rtranif0|rtranif1|scalared|sequence|shortint|shortreal|showcancelled|signed|small|solve|specify|specparam|static|string|strong0|strong1|struct|super|supply0|supply1|table|tagged|task|this|throughout|time|timeprecision|timeunit|tran|tranif0|tranif1|tri|tri0|tri1|triand|trior|trireg|type|typedef|union|unique|unsigned|use|uwire|var|vectored|virtual|void|wait|wait_order|wand|weak0|weak1|while|wildcard|wire|with|within|wor|xnor|xor)\\b/,\n // bold highlighting for all verilog and system verilog logic blocks\n important: /\\b(?:always|always_comb|always_ff|always_latch)\\b(?: *@)?/,\n // support for time ticks, vectors, and real numbers\n number:\n /\\B##?\\d+|(?:\\b\\d+)?'[odbh] ?[\\da-fzx_?]+|\\b(?:\\d*[._])?\\d+(?:e[-+]?\\d+)?/i,\n operator: /[-+{}^~%*\\/?=!<>&|]+/,\n punctuation: /[[\\];(),.:]/\n }\n}\n","// @ts-nocheck\nvhdl.displayName = 'vhdl'\nvhdl.aliases = []\n\n/** @type {import('../core.js').Syntax} */\nexport default function vhdl(Prism) {\n Prism.languages.vhdl = {\n comment: /--.+/,\n // support for all logic vectors\n 'vhdl-vectors': {\n pattern: /\\b[oxb]\"[\\da-f_]+\"|\"[01uxzwlh-]+\"/i,\n alias: 'number'\n },\n // support for operator overloading included\n 'quoted-function': {\n pattern: /\"\\S+?\"(?=\\()/,\n alias: 'function'\n },\n string: /\"(?:[^\\\\\"\\r\\n]|\\\\(?:\\r\\n|[\\s\\S]))*\"/,\n attribute: {\n pattern: /\\b'\\w+/,\n alias: 'attr-name'\n },\n // support for predefined attributes included\n keyword:\n /\\b(?:access|after|alias|all|architecture|array|assert|attribute|begin|block|body|buffer|bus|case|component|configuration|constant|disconnect|downto|else|elsif|end|entity|exit|file|for|function|generate|generic|group|guarded|if|impure|in|inertial|inout|is|label|library|linkage|literal|loop|map|new|next|null|of|on|open|others|out|package|port|postponed|private|procedure|process|pure|range|record|register|reject|report|return|select|severity|shared|signal|subtype|then|to|transport|type|unaffected|units|until|use|variable|view|wait|when|while|with)\\b/i,\n boolean: /\\b(?:false|true)\\b/i,\n function: /\\w+(?=\\()/,\n // decimal, based, physical, and exponential numbers supported\n number: /'[01uxzwlh-]'|\\b(?:\\d+#[\\da-f_.]+#|\\d[\\d_.]*)(?:e[-+]?\\d+)?/i,\n operator:\n /[<>]=?|:=|[-+*/&=]|\\b(?:abs|and|mod|nand|nor|not|or|rem|rol|ror|sla|sll|sra|srl|xnor|xor)\\b/i,\n punctuation: /[{}[\\];(),.:]/\n }\n}\n","// @ts-nocheck\nvim.displayName = 'vim'\nvim.aliases = []\n\n/** @type {import('../core.js').Syntax} */\nexport default function vim(Prism) {\n Prism.languages.vim = {\n string: /\"(?:[^\"\\\\\\r\\n]|\\\\.)*\"|'(?:[^'\\r\\n]|'')*'/,\n comment: /\".*/,\n function: /\\b\\w+(?=\\()/,\n keyword:\n /\\b(?:N|Next|P|Print|X|XMLent|XMLns|ab|abbreviate|abc|abclear|abo|aboveleft|al|all|ar|arga|argadd|argd|argdelete|argdo|arge|argedit|argg|argglobal|argl|arglocal|args|argu|argument|as|ascii|b|bN|bNext|ba|bad|badd|ball|bd|bdelete|be|bel|belowright|bf|bfirst|bl|blast|bm|bmodified|bn|bnext|bo|botright|bp|bprevious|br|brea|break|breaka|breakadd|breakd|breakdel|breakl|breaklist|brewind|bro|browse|bufdo|buffer|buffers|bun|bunload|bw|bwipeout|c|cN|cNext|cNfcNfile|ca|cabbrev|cabc|cabclear|cad|caddb|caddbuffer|caddexpr|caddf|caddfile|cal|call|cat|catch|cb|cbuffer|cc|ccl|cclose|cd|ce|center|cex|cexpr|cf|cfile|cfir|cfirst|cg|cgetb|cgetbuffer|cgete|cgetexpr|cgetfile|change|changes|chd|chdir|che|checkpath|checkt|checktime|cl|cla|clast|clist|clo|close|cmapc|cmapclear|cn|cnew|cnewer|cnext|cnf|cnfile|cnorea|cnoreabbrev|co|col|colder|colo|colorscheme|comc|comclear|comp|compiler|con|conf|confirm|continue|cope|copen|copy|cp|cpf|cpfile|cprevious|cq|cquit|cr|crewind|cu|cuna|cunabbrev|cunmap|cw|cwindow|d|debugg|debuggreedy|delc|delcommand|delete|delf|delfunction|delm|delmarks|di|diffg|diffget|diffoff|diffpatch|diffpu|diffput|diffsplit|diffthis|diffu|diffupdate|dig|digraphs|display|dj|djump|dl|dlist|dr|drop|ds|dsearch|dsp|dsplit|e|earlier|echoe|echoerr|echom|echomsg|echon|edit|el|else|elsei|elseif|em|emenu|en|endf|endfo|endfor|endfun|endfunction|endif|endt|endtry|endw|endwhile|ene|enew|ex|exi|exit|exu|exusage|f|file|files|filetype|fin|fina|finally|find|fini|finish|fir|first|fix|fixdel|fo|fold|foldc|foldclose|foldd|folddoc|folddoclosed|folddoopen|foldo|foldopen|for|fu|fun|function|go|goto|gr|grep|grepa|grepadd|h|ha|hardcopy|help|helpf|helpfind|helpg|helpgrep|helpt|helptags|hid|hide|his|history|ia|iabbrev|iabc|iabclear|if|ij|ijump|il|ilist|imapc|imapclear|in|inorea|inoreabbrev|isearch|isp|isplit|iu|iuna|iunabbrev|iunmap|j|join|ju|jumps|k|kee|keepalt|keepj|keepjumps|keepmarks|l|lN|lNext|lNf|lNfile|la|lad|laddb|laddbuffer|laddexpr|laddf|laddfile|lan|language|last|later|lb|lbuffer|lc|lcd|lch|lchdir|lcl|lclose|left|lefta|leftabove|let|lex|lexpr|lf|lfile|lfir|lfirst|lg|lgetb|lgetbuffer|lgete|lgetexpr|lgetfile|lgr|lgrep|lgrepa|lgrepadd|lh|lhelpgrep|list|ll|lla|llast|lli|llist|lm|lmak|lmake|lmap|lmapc|lmapclear|ln|lne|lnew|lnewer|lnext|lnf|lnfile|lnoremap|lo|loadview|loc|lockmarks|lockv|lockvar|lol|lolder|lop|lopen|lp|lpf|lpfile|lprevious|lr|lrewind|ls|lt|ltag|lu|lunmap|lv|lvimgrep|lvimgrepa|lvimgrepadd|lw|lwindow|m|ma|mak|make|mark|marks|mat|match|menut|menutranslate|mk|mkexrc|mks|mksession|mksp|mkspell|mkv|mkvie|mkview|mkvimrc|mod|mode|move|mz|mzf|mzfile|mzscheme|n|nbkey|new|next|nmapc|nmapclear|noh|nohlsearch|norea|noreabbrev|nu|number|nun|nunmap|o|omapc|omapclear|on|only|open|opt|options|ou|ounmap|p|pc|pclose|pe|ped|pedit|perl|perld|perldo|po|pop|popu|popup|pp|ppop|pre|preserve|prev|previous|print|prof|profd|profdel|profile|promptf|promptfind|promptr|promptrepl|ps|psearch|ptN|ptNext|pta|ptag|ptf|ptfirst|ptj|ptjump|ptl|ptlast|ptn|ptnext|ptp|ptprevious|ptr|ptrewind|pts|ptselect|pu|put|pw|pwd|py|pyf|pyfile|python|q|qa|qall|quit|quita|quitall|r|read|rec|recover|red|redi|redir|redo|redr|redraw|redraws|redrawstatus|reg|registers|res|resize|ret|retab|retu|return|rew|rewind|ri|right|rightb|rightbelow|ru|rub|ruby|rubyd|rubydo|rubyf|rubyfile|runtime|rv|rviminfo|sN|sNext|sa|sal|sall|san|sandbox|sargument|sav|saveas|sb|sbN|sbNext|sba|sball|sbf|sbfirst|sbl|sblast|sbm|sbmodified|sbn|sbnext|sbp|sbprevious|sbr|sbrewind|sbuffer|scrip|scripte|scriptencoding|scriptnames|se|set|setf|setfiletype|setg|setglobal|setl|setlocal|sf|sfind|sfir|sfirst|sh|shell|sign|sil|silent|sim|simalt|sl|sla|slast|sleep|sm|smagic|smap|smapc|smapclear|sme|smenu|sn|snext|sni|sniff|sno|snomagic|snor|snoremap|snoreme|snoremenu|so|sor|sort|source|sp|spe|spelld|spelldump|spellgood|spelli|spellinfo|spellr|spellrepall|spellu|spellundo|spellw|spellwrong|split|spr|sprevious|sre|srewind|st|sta|stag|star|startg|startgreplace|startinsert|startr|startreplace|stj|stjump|stop|stopi|stopinsert|sts|stselect|sun|sunhide|sunm|sunmap|sus|suspend|sv|sview|syncbind|t|tN|tNext|ta|tab|tabN|tabNext|tabc|tabclose|tabd|tabdo|tabe|tabedit|tabf|tabfind|tabfir|tabfirst|tabl|tablast|tabm|tabmove|tabn|tabnew|tabnext|tabo|tabonly|tabp|tabprevious|tabr|tabrewind|tabs|tag|tags|tc|tcl|tcld|tcldo|tclf|tclfile|te|tearoff|tf|tfirst|th|throw|tj|tjump|tl|tlast|tm|tmenu|tn|tnext|to|topleft|tp|tprevious|tr|trewind|try|ts|tselect|tu|tunmenu|u|una|unabbreviate|undo|undoj|undojoin|undol|undolist|unh|unhide|unlet|unlo|unlockvar|unm|unmap|up|update|ve|verb|verbose|version|vert|vertical|vi|vie|view|vim|vimgrep|vimgrepa|vimgrepadd|visual|viu|viusage|vmapc|vmapclear|vne|vnew|vs|vsplit|vu|vunmap|w|wN|wNext|wa|wall|wh|while|win|winc|wincmd|windo|winp|winpos|winsize|wn|wnext|wp|wprevious|wq|wqa|wqall|write|ws|wsverb|wv|wviminfo|x|xa|xall|xit|xm|xmap|xmapc|xmapclear|xme|xmenu|xn|xnoremap|xnoreme|xnoremenu|xu|xunmap|y|yank)\\b/,\n builtin:\n /\\b(?:acd|ai|akm|aleph|allowrevins|altkeymap|ambiwidth|ambw|anti|antialias|arab|arabic|arabicshape|ari|arshape|autochdir|autocmd|autoindent|autoread|autowrite|autowriteall|aw|awa|background|backspace|backup|backupcopy|backupdir|backupext|backupskip|balloondelay|ballooneval|balloonexpr|bdir|bdlay|beval|bex|bexpr|bg|bh|bin|binary|biosk|bioskey|bk|bkc|bomb|breakat|brk|browsedir|bs|bsdir|bsk|bt|bufhidden|buflisted|buftype|casemap|ccv|cdpath|cedit|cfu|ch|charconvert|ci|cin|cindent|cink|cinkeys|cino|cinoptions|cinw|cinwords|clipboard|cmdheight|cmdwinheight|cmp|cms|columns|com|comments|commentstring|compatible|complete|completefunc|completeopt|consk|conskey|copyindent|cot|cpo|cpoptions|cpt|cscopepathcomp|cscopeprg|cscopequickfix|cscopetag|cscopetagorder|cscopeverbose|cspc|csprg|csqf|cst|csto|csverb|cuc|cul|cursorcolumn|cursorline|cwh|debug|deco|def|define|delcombine|dex|dg|dict|dictionary|diff|diffexpr|diffopt|digraph|dip|dir|directory|dy|ea|ead|eadirection|eb|ed|edcompatible|ef|efm|ei|ek|enc|encoding|endofline|eol|ep|equalalways|equalprg|errorbells|errorfile|errorformat|esckeys|et|eventignore|expandtab|exrc|fcl|fcs|fdc|fde|fdi|fdl|fdls|fdm|fdn|fdo|fdt|fen|fenc|fencs|fex|ff|ffs|fileencoding|fileencodings|fileformat|fileformats|fillchars|fk|fkmap|flp|fml|fmr|foldcolumn|foldenable|foldexpr|foldignore|foldlevel|foldlevelstart|foldmarker|foldmethod|foldminlines|foldnestmax|foldtext|formatexpr|formatlistpat|formatoptions|formatprg|fp|fs|fsync|ft|gcr|gd|gdefault|gfm|gfn|gfs|gfw|ghr|gp|grepformat|grepprg|gtl|gtt|guicursor|guifont|guifontset|guifontwide|guiheadroom|guioptions|guipty|guitablabel|guitabtooltip|helpfile|helpheight|helplang|hf|hh|hi|hidden|highlight|hk|hkmap|hkmapp|hkp|hl|hlg|hls|hlsearch|ic|icon|iconstring|ignorecase|im|imactivatekey|imak|imc|imcmdline|imd|imdisable|imi|iminsert|ims|imsearch|inc|include|includeexpr|incsearch|inde|indentexpr|indentkeys|indk|inex|inf|infercase|insertmode|invacd|invai|invakm|invallowrevins|invaltkeymap|invanti|invantialias|invar|invarab|invarabic|invarabicshape|invari|invarshape|invautochdir|invautoindent|invautoread|invautowrite|invautowriteall|invaw|invawa|invbackup|invballooneval|invbeval|invbin|invbinary|invbiosk|invbioskey|invbk|invbl|invbomb|invbuflisted|invcf|invci|invcin|invcindent|invcompatible|invconfirm|invconsk|invconskey|invcopyindent|invcp|invcscopetag|invcscopeverbose|invcst|invcsverb|invcuc|invcul|invcursorcolumn|invcursorline|invdeco|invdelcombine|invdg|invdiff|invdigraph|invdisable|invea|inveb|inved|invedcompatible|invek|invendofline|inveol|invequalalways|inverrorbells|invesckeys|invet|invex|invexpandtab|invexrc|invfen|invfk|invfkmap|invfoldenable|invgd|invgdefault|invguipty|invhid|invhidden|invhk|invhkmap|invhkmapp|invhkp|invhls|invhlsearch|invic|invicon|invignorecase|invim|invimc|invimcmdline|invimd|invincsearch|invinf|invinfercase|invinsertmode|invis|invjoinspaces|invjs|invlazyredraw|invlbr|invlinebreak|invlisp|invlist|invloadplugins|invlpl|invlz|invma|invmacatsui|invmagic|invmh|invml|invmod|invmodeline|invmodifiable|invmodified|invmore|invmousef|invmousefocus|invmousehide|invnu|invnumber|invodev|invopendevice|invpaste|invpi|invpreserveindent|invpreviewwindow|invprompt|invpvw|invreadonly|invremap|invrestorescreen|invrevins|invri|invrightleft|invrightleftcmd|invrl|invrlc|invro|invrs|invru|invruler|invsb|invsc|invscb|invscrollbind|invscs|invsecure|invsft|invshellslash|invshelltemp|invshiftround|invshortname|invshowcmd|invshowfulltag|invshowmatch|invshowmode|invsi|invsm|invsmartcase|invsmartindent|invsmarttab|invsmd|invsn|invsol|invspell|invsplitbelow|invsplitright|invspr|invsr|invssl|invsta|invstartofline|invstmp|invswapfile|invswf|invta|invtagbsearch|invtagrelative|invtagstack|invtbi|invtbidi|invtbs|invtermbidi|invterse|invtextauto|invtextmode|invtf|invtgst|invtildeop|invtimeout|invtitle|invto|invtop|invtr|invttimeout|invttybuiltin|invttyfast|invtx|invvb|invvisualbell|invwa|invwarn|invwb|invweirdinvert|invwfh|invwfw|invwildmenu|invwinfixheight|invwinfixwidth|invwiv|invwmnu|invwrap|invwrapscan|invwrite|invwriteany|invwritebackup|invws|isf|isfname|isi|isident|isk|iskeyword|isprint|joinspaces|js|key|keymap|keymodel|keywordprg|km|kmp|kp|langmap|langmenu|laststatus|lazyredraw|lbr|lcs|linebreak|lines|linespace|lisp|lispwords|listchars|loadplugins|lpl|lsp|lz|macatsui|magic|makeef|makeprg|matchpairs|matchtime|maxcombine|maxfuncdepth|maxmapdepth|maxmem|maxmempattern|maxmemtot|mco|mef|menuitems|mfd|mh|mis|mkspellmem|ml|mls|mm|mmd|mmp|mmt|modeline|modelines|modifiable|modified|more|mouse|mousef|mousefocus|mousehide|mousem|mousemodel|mouses|mouseshape|mouset|mousetime|mp|mps|msm|mzq|mzquantum|nf|noacd|noai|noakm|noallowrevins|noaltkeymap|noanti|noantialias|noar|noarab|noarabic|noarabicshape|noari|noarshape|noautochdir|noautoindent|noautoread|noautowrite|noautowriteall|noaw|noawa|nobackup|noballooneval|nobeval|nobin|nobinary|nobiosk|nobioskey|nobk|nobl|nobomb|nobuflisted|nocf|noci|nocin|nocindent|nocompatible|noconfirm|noconsk|noconskey|nocopyindent|nocp|nocscopetag|nocscopeverbose|nocst|nocsverb|nocuc|nocul|nocursorcolumn|nocursorline|nodeco|nodelcombine|nodg|nodiff|nodigraph|nodisable|noea|noeb|noed|noedcompatible|noek|noendofline|noeol|noequalalways|noerrorbells|noesckeys|noet|noex|noexpandtab|noexrc|nofen|nofk|nofkmap|nofoldenable|nogd|nogdefault|noguipty|nohid|nohidden|nohk|nohkmap|nohkmapp|nohkp|nohls|noic|noicon|noignorecase|noim|noimc|noimcmdline|noimd|noincsearch|noinf|noinfercase|noinsertmode|nois|nojoinspaces|nojs|nolazyredraw|nolbr|nolinebreak|nolisp|nolist|noloadplugins|nolpl|nolz|noma|nomacatsui|nomagic|nomh|noml|nomod|nomodeline|nomodifiable|nomodified|nomore|nomousef|nomousefocus|nomousehide|nonu|nonumber|noodev|noopendevice|nopaste|nopi|nopreserveindent|nopreviewwindow|noprompt|nopvw|noreadonly|noremap|norestorescreen|norevins|nori|norightleft|norightleftcmd|norl|norlc|noro|nors|noru|noruler|nosb|nosc|noscb|noscrollbind|noscs|nosecure|nosft|noshellslash|noshelltemp|noshiftround|noshortname|noshowcmd|noshowfulltag|noshowmatch|noshowmode|nosi|nosm|nosmartcase|nosmartindent|nosmarttab|nosmd|nosn|nosol|nospell|nosplitbelow|nosplitright|nospr|nosr|nossl|nosta|nostartofline|nostmp|noswapfile|noswf|nota|notagbsearch|notagrelative|notagstack|notbi|notbidi|notbs|notermbidi|noterse|notextauto|notextmode|notf|notgst|notildeop|notimeout|notitle|noto|notop|notr|nottimeout|nottybuiltin|nottyfast|notx|novb|novisualbell|nowa|nowarn|nowb|noweirdinvert|nowfh|nowfw|nowildmenu|nowinfixheight|nowinfixwidth|nowiv|nowmnu|nowrap|nowrapscan|nowrite|nowriteany|nowritebackup|nows|nrformats|numberwidth|nuw|odev|oft|ofu|omnifunc|opendevice|operatorfunc|opfunc|osfiletype|pa|para|paragraphs|paste|pastetoggle|patchexpr|patchmode|path|pdev|penc|pex|pexpr|pfn|ph|pheader|pi|pm|pmbcs|pmbfn|popt|preserveindent|previewheight|previewwindow|printdevice|printencoding|printexpr|printfont|printheader|printmbcharset|printmbfont|printoptions|prompt|pt|pumheight|pvh|pvw|qe|quoteescape|readonly|remap|report|restorescreen|revins|rightleft|rightleftcmd|rl|rlc|ro|rs|rtp|ruf|ruler|rulerformat|runtimepath|sbo|sc|scb|scr|scroll|scrollbind|scrolljump|scrolloff|scrollopt|scs|sect|sections|secure|sel|selection|selectmode|sessionoptions|sft|shcf|shellcmdflag|shellpipe|shellquote|shellredir|shellslash|shelltemp|shelltype|shellxquote|shiftround|shiftwidth|shm|shortmess|shortname|showbreak|showcmd|showfulltag|showmatch|showmode|showtabline|shq|si|sidescroll|sidescrolloff|siso|sj|slm|smartcase|smartindent|smarttab|smc|smd|softtabstop|sol|spc|spell|spellcapcheck|spellfile|spelllang|spellsuggest|spf|spl|splitbelow|splitright|sps|sr|srr|ss|ssl|ssop|stal|startofline|statusline|stl|stmp|su|sua|suffixes|suffixesadd|sw|swapfile|swapsync|swb|swf|switchbuf|sws|sxq|syn|synmaxcol|syntax|t_AB|t_AF|t_AL|t_CS|t_CV|t_Ce|t_Co|t_Cs|t_DL|t_EI|t_F1|t_F2|t_F3|t_F4|t_F5|t_F6|t_F7|t_F8|t_F9|t_IE|t_IS|t_K1|t_K3|t_K4|t_K5|t_K6|t_K7|t_K8|t_K9|t_KA|t_KB|t_KC|t_KD|t_KE|t_KF|t_KG|t_KH|t_KI|t_KJ|t_KK|t_KL|t_RI|t_RV|t_SI|t_Sb|t_Sf|t_WP|t_WS|t_ZH|t_ZR|t_al|t_bc|t_cd|t_ce|t_cl|t_cm|t_cs|t_da|t_db|t_dl|t_fs|t_k1|t_k2|t_k3|t_k4|t_k5|t_k6|t_k7|t_k8|t_k9|t_kB|t_kD|t_kI|t_kN|t_kP|t_kb|t_kd|t_ke|t_kh|t_kl|t_kr|t_ks|t_ku|t_le|t_mb|t_md|t_me|t_mr|t_ms|t_nd|t_op|t_se|t_so|t_sr|t_te|t_ti|t_ts|t_ue|t_us|t_ut|t_vb|t_ve|t_vi|t_vs|t_xs|tabline|tabpagemax|tabstop|tagbsearch|taglength|tagrelative|tagstack|tal|tb|tbi|tbidi|tbis|tbs|tenc|term|termbidi|termencoding|terse|textauto|textmode|textwidth|tgst|thesaurus|tildeop|timeout|timeoutlen|title|titlelen|titleold|titlestring|toolbar|toolbariconsize|top|tpm|tsl|tsr|ttimeout|ttimeoutlen|ttm|tty|ttybuiltin|ttyfast|ttym|ttymouse|ttyscroll|ttytype|tw|tx|uc|ul|undolevels|updatecount|updatetime|ut|vb|vbs|vdir|verbosefile|vfile|viewdir|viewoptions|viminfo|virtualedit|visualbell|vop|wak|warn|wb|wc|wcm|wd|weirdinvert|wfh|wfw|whichwrap|wi|wig|wildchar|wildcharm|wildignore|wildmenu|wildmode|wildoptions|wim|winaltkeys|window|winfixheight|winfixwidth|winheight|winminheight|winminwidth|winwidth|wiv|wiw|wm|wmh|wmnu|wmw|wop|wrap|wrapmargin|wrapscan|writeany|writebackup|writedelay|ww)\\b/,\n number: /\\b(?:0x[\\da-f]+|\\d+(?:\\.\\d+)?)\\b/i,\n operator:\n /\\|\\||&&|[-+.]=?|[=!](?:[=~][#?]?)?|[<>]=?[#?]?|[*\\/%?]|\\b(?:is(?:not)?)\\b/,\n punctuation: /[{}[\\](),;:]/\n }\n}\n","// @ts-nocheck\nvisualBasic.displayName = 'visual-basic'\nvisualBasic.aliases = ['vb', 'vba']\n\n/** @type {import('../core.js').Syntax} */\nexport default function visualBasic(Prism) {\n Prism.languages['visual-basic'] = {\n comment: {\n pattern: /(?:['‘’]|REM\\b)(?:[^\\r\\n_]|_(?:\\r\\n?|\\n)?)*/i,\n inside: {\n keyword: /^REM/i\n }\n },\n directive: {\n pattern:\n /#(?:Const|Else|ElseIf|End|ExternalChecksum|ExternalSource|If|Region)(?:\\b_[ \\t]*(?:\\r\\n?|\\n)|.)+/i,\n alias: 'property',\n greedy: true\n },\n string: {\n pattern: /\\$?[\"“”](?:[\"“”]{2}|[^\"“”])*[\"“”]C?/i,\n greedy: true\n },\n date: {\n pattern:\n /#[ \\t]*(?:\\d+([/-])\\d+\\1\\d+(?:[ \\t]+(?:\\d+[ \\t]*(?:AM|PM)|\\d+:\\d+(?::\\d+)?(?:[ \\t]*(?:AM|PM))?))?|\\d+[ \\t]*(?:AM|PM)|\\d+:\\d+(?::\\d+)?(?:[ \\t]*(?:AM|PM))?)[ \\t]*#/i,\n alias: 'number'\n },\n number:\n /(?:(?:\\b\\d+(?:\\.\\d+)?|\\.\\d+)(?:E[+-]?\\d+)?|&[HO][\\dA-F]+)(?:[FRD]|U?[ILS])?/i,\n boolean: /\\b(?:False|Nothing|True)\\b/i,\n keyword:\n /\\b(?:AddHandler|AddressOf|Alias|And(?:Also)?|As|Boolean|ByRef|Byte|ByVal|Call|Case|Catch|C(?:Bool|Byte|Char|Date|Dbl|Dec|Int|Lng|Obj|SByte|Short|Sng|Str|Type|UInt|ULng|UShort)|Char|Class|Const|Continue|Currency|Date|Decimal|Declare|Default|Delegate|Dim|DirectCast|Do|Double|Each|Else(?:If)?|End(?:If)?|Enum|Erase|Error|Event|Exit|Finally|For|Friend|Function|Get(?:Type|XMLNamespace)?|Global|GoSub|GoTo|Handles|If|Implements|Imports|In|Inherits|Integer|Interface|Is|IsNot|Let|Lib|Like|Long|Loop|Me|Mod|Module|Must(?:Inherit|Override)|My(?:Base|Class)|Namespace|Narrowing|New|Next|Not(?:Inheritable|Overridable)?|Object|Of|On|Operator|Option(?:al)?|Or(?:Else)?|Out|Overloads|Overridable|Overrides|ParamArray|Partial|Private|Property|Protected|Public|RaiseEvent|ReadOnly|ReDim|RemoveHandler|Resume|Return|SByte|Select|Set|Shadows|Shared|short|Single|Static|Step|Stop|String|Structure|Sub|SyncLock|Then|Throw|To|Try|TryCast|Type|TypeOf|U(?:Integer|Long|Short)|Until|Using|Variant|Wend|When|While|Widening|With(?:Events)?|WriteOnly|Xor)\\b/i,\n operator: /[+\\-*/\\\\^<=>&#@$%!]|\\b_(?=[ \\t]*[\\r\\n])/,\n punctuation: /[{}().,:?]/\n }\n Prism.languages.vb = Prism.languages['visual-basic']\n Prism.languages.vba = Prism.languages['visual-basic']\n}\n","// @ts-nocheck\nwarpscript.displayName = 'warpscript'\nwarpscript.aliases = []\n\n/** @type {import('../core.js').Syntax} */\nexport default function warpscript(Prism) {\n Prism.languages.warpscript = {\n comment: /#.*|\\/\\/.*|\\/\\*[\\s\\S]*?\\*\\//,\n string: {\n pattern:\n /\"(?:[^\"\\\\\\r\\n]|\\\\.)*\"|'(?:[^'\\\\\\r\\n]|\\\\.)*'|<'(?:[^\\\\']|'(?!>)|\\\\.)*'>/,\n greedy: true\n },\n variable: /\\$\\S+/,\n macro: {\n pattern: /@\\S+/,\n alias: 'property'\n },\n // WarpScript doesn't have any keywords, these are all functions under the control category\n // https://www.warp10.io/tags/control\n keyword:\n /\\b(?:BREAK|CHECKMACRO|CONTINUE|CUDF|DEFINED|DEFINEDMACRO|EVAL|FAIL|FOR|FOREACH|FORSTEP|IFT|IFTE|MSGFAIL|NRETURN|RETHROW|RETURN|SWITCH|TRY|UDF|UNTIL|WHILE)\\b/,\n number:\n /[+-]?\\b(?:NaN|Infinity|\\d+(?:\\.\\d*)?(?:[Ee][+-]?\\d+)?|0x[\\da-fA-F]+|0b[01]+)\\b/,\n boolean: /\\b(?:F|T|false|true)\\b/,\n punctuation: /<%|%>|[{}[\\]()]/,\n // Some operators from the \"operators\" category\n // https://www.warp10.io/tags/operators\n operator:\n /==|&&?|\\|\\|?|\\*\\*?|>>>?|<<|[<>!~]=?|[-/%^]|\\+!?|\\b(?:AND|NOT|OR)\\b/\n }\n}\n","// @ts-nocheck\nwasm.displayName = 'wasm'\nwasm.aliases = []\n\n/** @type {import('../core.js').Syntax} */\nexport default function wasm(Prism) {\n Prism.languages.wasm = {\n comment: [\n /\\(;[\\s\\S]*?;\\)/,\n {\n pattern: /;;.*/,\n greedy: true\n }\n ],\n string: {\n pattern: /\"(?:\\\\[\\s\\S]|[^\"\\\\])*\"/,\n greedy: true\n },\n keyword: [\n {\n pattern: /\\b(?:align|offset)=/,\n inside: {\n operator: /=/\n }\n },\n {\n pattern:\n /\\b(?:(?:f32|f64|i32|i64)(?:\\.(?:abs|add|and|ceil|clz|const|convert_[su]\\/i(?:32|64)|copysign|ctz|demote\\/f64|div(?:_[su])?|eqz?|extend_[su]\\/i32|floor|ge(?:_[su])?|gt(?:_[su])?|le(?:_[su])?|load(?:(?:8|16|32)_[su])?|lt(?:_[su])?|max|min|mul|neg?|nearest|or|popcnt|promote\\/f32|reinterpret\\/[fi](?:32|64)|rem_[su]|rot[lr]|shl|shr_[su]|sqrt|store(?:8|16|32)?|sub|trunc(?:_[su]\\/f(?:32|64))?|wrap\\/i64|xor))?|memory\\.(?:grow|size))\\b/,\n inside: {\n punctuation: /\\./\n }\n },\n /\\b(?:anyfunc|block|br(?:_if|_table)?|call(?:_indirect)?|data|drop|elem|else|end|export|func|get_(?:global|local)|global|if|import|local|loop|memory|module|mut|nop|offset|param|result|return|select|set_(?:global|local)|start|table|tee_local|then|type|unreachable)\\b/\n ],\n variable: /\\$[\\w!#$%&'*+\\-./:<=>?@\\\\^`|~]+/,\n number:\n /[+-]?\\b(?:\\d(?:_?\\d)*(?:\\.\\d(?:_?\\d)*)?(?:[eE][+-]?\\d(?:_?\\d)*)?|0x[\\da-fA-F](?:_?[\\da-fA-F])*(?:\\.[\\da-fA-F](?:_?[\\da-fA-D])*)?(?:[pP][+-]?\\d(?:_?\\d)*)?)\\b|\\binf\\b|\\bnan(?::0x[\\da-fA-F](?:_?[\\da-fA-D])*)?\\b/,\n punctuation: /[()]/\n }\n}\n","// @ts-nocheck\nwebIdl.displayName = 'web-idl'\nwebIdl.aliases = ['webidl']\n\n/** @type {import('../core.js').Syntax} */\nexport default function webIdl(Prism) {\n ;(function (Prism) {\n var id = /(?:\\B-|\\b_|\\b)[A-Za-z][\\w-]*(?![\\w-])/.source\n var type =\n '(?:' +\n /\\b(?:unsigned\\s+)?long\\s+long(?![\\w-])/.source +\n '|' +\n /\\b(?:unrestricted|unsigned)\\s+[a-z]+(?![\\w-])/.source +\n '|' +\n /(?!(?:unrestricted|unsigned)\\b)/.source +\n id +\n /(?:\\s*<(?:[^<>]|<[^<>]*>)*>)?/.source +\n ')' +\n /(?:\\s*\\?)?/.source\n var typeInside = {}\n Prism.languages['web-idl'] = {\n comment: {\n pattern: /\\/\\/.*|\\/\\*[\\s\\S]*?\\*\\//,\n greedy: true\n },\n string: {\n pattern: /\"[^\"]*\"/,\n greedy: true\n },\n namespace: {\n pattern: RegExp(/(\\bnamespace\\s+)/.source + id),\n lookbehind: true\n },\n 'class-name': [\n {\n pattern:\n /(^|[^\\w-])(?:iterable|maplike|setlike)\\s*<(?:[^<>]|<[^<>]*>)*>/,\n lookbehind: true,\n inside: typeInside\n },\n {\n pattern: RegExp(\n /(\\b(?:attribute|const|deleter|getter|optional|setter)\\s+)/.source +\n type\n ),\n lookbehind: true,\n inside: typeInside\n },\n {\n // callback return type\n pattern: RegExp(\n '(' + /\\bcallback\\s+/.source + id + /\\s*=\\s*/.source + ')' + type\n ),\n lookbehind: true,\n inside: typeInside\n },\n {\n // typedef\n pattern: RegExp(/(\\btypedef\\b\\s*)/.source + type),\n lookbehind: true,\n inside: typeInside\n },\n {\n pattern: RegExp(\n /(\\b(?:callback|dictionary|enum|interface(?:\\s+mixin)?)\\s+)(?!(?:interface|mixin)\\b)/\n .source + id\n ),\n lookbehind: true\n },\n {\n // inheritance\n pattern: RegExp(/(:\\s*)/.source + id),\n lookbehind: true\n },\n // includes and implements\n RegExp(id + /(?=\\s+(?:implements|includes)\\b)/.source),\n {\n pattern: RegExp(/(\\b(?:implements|includes)\\s+)/.source + id),\n lookbehind: true\n },\n {\n // function return type, parameter types, and dictionary members\n pattern: RegExp(\n type +\n '(?=' +\n /\\s*(?:\\.{3}\\s*)?/.source +\n id +\n /\\s*[(),;=]/.source +\n ')'\n ),\n inside: typeInside\n }\n ],\n builtin:\n /\\b(?:ArrayBuffer|BigInt64Array|BigUint64Array|ByteString|DOMString|DataView|Float32Array|Float64Array|FrozenArray|Int16Array|Int32Array|Int8Array|ObservableArray|Promise|USVString|Uint16Array|Uint32Array|Uint8Array|Uint8ClampedArray)\\b/,\n keyword: [\n /\\b(?:async|attribute|callback|const|constructor|deleter|dictionary|enum|getter|implements|includes|inherit|interface|mixin|namespace|null|optional|or|partial|readonly|required|setter|static|stringifier|typedef|unrestricted)\\b/,\n // type keywords\n /\\b(?:any|bigint|boolean|byte|double|float|iterable|long|maplike|object|octet|record|sequence|setlike|short|symbol|undefined|unsigned|void)\\b/\n ],\n boolean: /\\b(?:false|true)\\b/,\n number: {\n pattern:\n /(^|[^\\w-])-?(?:0x[0-9a-f]+|(?:\\d+(?:\\.\\d*)?|\\.\\d+)(?:e[+-]?\\d+)?|NaN|Infinity)(?![\\w-])/i,\n lookbehind: true\n },\n operator: /\\.{3}|[=:?<>-]/,\n punctuation: /[(){}[\\].,;]/\n }\n for (var key in Prism.languages['web-idl']) {\n if (key !== 'class-name') {\n typeInside[key] = Prism.languages['web-idl'][key]\n }\n }\n Prism.languages['webidl'] = Prism.languages['web-idl']\n })(Prism)\n}\n","// @ts-nocheck\nwgsl.displayName = 'wgsl'\nwgsl.aliases = []\n\n/** @type {import('../core.js').Syntax} */\nexport default function wgsl(Prism) {\n Prism.languages.wgsl = {\n comment: {\n pattern: /\\/\\/.*|\\/\\*[\\s\\S]*?(?:\\*\\/|$)/,\n greedy: true\n },\n 'builtin-attribute': {\n pattern: /(@)builtin\\(.*?\\)/,\n lookbehind: true,\n inside: {\n attribute: {\n pattern: /^builtin/,\n alias: 'attr-name'\n },\n punctuation: /[(),]/,\n 'built-in-values': {\n pattern:\n /\\b(?:frag_depth|front_facing|global_invocation_id|instance_index|local_invocation_id|local_invocation_index|num_workgroups|position|sample_index|sample_mask|vertex_index|workgroup_id)\\b/,\n alias: 'attr-value'\n }\n }\n },\n attributes: {\n pattern:\n /(@)(?:align|binding|compute|const|fragment|group|id|interpolate|invariant|location|size|vertex|workgroup_size)/i,\n lookbehind: true,\n alias: 'attr-name'\n },\n functions: {\n pattern: /\\b(fn\\s+)[_a-zA-Z]\\w*(?=[(<])/,\n lookbehind: true,\n alias: 'function'\n },\n keyword:\n /\\b(?:bitcast|break|case|const|continue|continuing|default|discard|else|enable|fallthrough|fn|for|function|if|let|loop|private|return|storage|struct|switch|type|uniform|var|while|workgroup)\\b/,\n builtin:\n /\\b(?:abs|acos|acosh|all|any|array|asin|asinh|atan|atan2|atanh|atomic|atomicAdd|atomicAnd|atomicCompareExchangeWeak|atomicExchange|atomicLoad|atomicMax|atomicMin|atomicOr|atomicStore|atomicSub|atomicXor|bool|ceil|clamp|cos|cosh|countLeadingZeros|countOneBits|countTrailingZeros|cross|degrees|determinant|distance|dot|dpdx|dpdxCoarse|dpdxFine|dpdy|dpdyCoarse|dpdyFine|exp|exp2|extractBits|f32|f64|faceForward|firstLeadingBit|floor|fma|fract|frexp|fwidth|fwidthCoarse|fwidthFine|i32|i64|insertBits|inverseSqrt|ldexp|length|log|log2|mat[2-4]x[2-4]|max|min|mix|modf|normalize|override|pack2x16float|pack2x16snorm|pack2x16unorm|pack4x8snorm|pack4x8unorm|pow|ptr|quantizeToF16|radians|reflect|refract|reverseBits|round|sampler|sampler_comparison|select|shiftLeft|shiftRight|sign|sin|sinh|smoothstep|sqrt|staticAssert|step|storageBarrier|tan|tanh|textureDimensions|textureGather|textureGatherCompare|textureLoad|textureNumLayers|textureNumLevels|textureNumSamples|textureSample|textureSampleBias|textureSampleCompare|textureSampleCompareLevel|textureSampleGrad|textureSampleLevel|textureStore|texture_1d|texture_2d|texture_2d_array|texture_3d|texture_cube|texture_cube_array|texture_depth_2d|texture_depth_2d_array|texture_depth_cube|texture_depth_cube_array|texture_depth_multisampled_2d|texture_multisampled_2d|texture_storage_1d|texture_storage_2d|texture_storage_2d_array|texture_storage_3d|transpose|trunc|u32|u64|unpack2x16float|unpack2x16snorm|unpack2x16unorm|unpack4x8snorm|unpack4x8unorm|vec[2-4]|workgroupBarrier)\\b/,\n 'function-calls': {\n pattern: /\\b[_a-z]\\w*(?=\\()/i,\n alias: 'function'\n },\n 'class-name': /\\b(?:[A-Z][A-Za-z0-9]*)\\b/,\n 'bool-literal': {\n pattern: /\\b(?:false|true)\\b/,\n alias: 'boolean'\n },\n 'hex-int-literal': {\n pattern: /\\b0[xX][0-9a-fA-F]+[iu]?\\b(?![.pP])/,\n alias: 'number'\n },\n 'hex-float-literal': {\n pattern: /\\b0[xX][0-9a-fA-F]*(?:\\.[0-9a-fA-F]*)?(?:[pP][+-]?\\d+[fh]?)?/,\n alias: 'number'\n },\n 'decimal-float-literal': [\n {\n pattern: /\\d*\\.\\d+(?:[eE](?:\\+|-)?\\d+)?[fh]?/,\n alias: 'number'\n },\n {\n pattern: /\\d+\\.\\d*(?:[eE](?:\\+|-)?\\d+)?[fh]?/,\n alias: 'number'\n },\n {\n pattern: /\\d+[eE](?:\\+|-)?\\d+[fh]?/,\n alias: 'number'\n },\n {\n pattern: /\\b\\d+[fh]\\b/,\n alias: 'number'\n }\n ],\n 'int-literal': {\n pattern: /\\b\\d+[iu]?\\b/,\n alias: 'number'\n },\n operator: [\n {\n pattern: /(?:\\^|~|\\|(?!\\|)|\\|\\||&&|<<|>>|!)(?!=)/\n },\n {\n pattern: /&(?![&=])/\n },\n {\n pattern: /(?:\\+=|-=|\\*=|\\/=|%=|\\^=|&=|\\|=|<<=|>>=)/\n },\n {\n pattern: /(^|[^<>=!])=(?![=>])/,\n lookbehind: true\n },\n {\n pattern: /(?:==|!=|<=|\\+\\+|--|(^|[^=])>=)/,\n lookbehind: true\n },\n {\n pattern: /(?:(?:[+%]|(?:\\*(?!\\w)))(?!=))|(?:-(?!>))|(?:\\/(?!\\/))/\n },\n {\n pattern: /->/\n }\n ],\n punctuation: /[@(){}[\\],;<>:.]/\n }\n}\n","// @ts-nocheck\nimport refractorMarkup from './markup.js'\nwiki.displayName = 'wiki'\nwiki.aliases = []\n\n/** @type {import('../core.js').Syntax} */\nexport default function wiki(Prism) {\n Prism.register(refractorMarkup)\n Prism.languages.wiki = Prism.languages.extend('markup', {\n 'block-comment': {\n pattern: /(^|[^\\\\])\\/\\*[\\s\\S]*?\\*\\//,\n lookbehind: true,\n alias: 'comment'\n },\n heading: {\n pattern: /^(=+)[^=\\r\\n].*?\\1/m,\n inside: {\n punctuation: /^=+|=+$/,\n important: /.+/\n }\n },\n emphasis: {\n // TODO Multi-line\n pattern: /('{2,5}).+?\\1/,\n inside: {\n 'bold-italic': {\n pattern: /(''''').+?(?=\\1)/,\n lookbehind: true,\n alias: ['bold', 'italic']\n },\n bold: {\n pattern: /(''')[^'](?:.*?[^'])?(?=\\1)/,\n lookbehind: true\n },\n italic: {\n pattern: /('')[^'](?:.*?[^'])?(?=\\1)/,\n lookbehind: true\n },\n punctuation: /^''+|''+$/\n }\n },\n hr: {\n pattern: /^-{4,}/m,\n alias: 'punctuation'\n },\n url: [\n /ISBN +(?:97[89][ -]?)?(?:\\d[ -]?){9}[\\dx]\\b|(?:PMID|RFC) +\\d+/i,\n /\\[\\[.+?\\]\\]|\\[.+?\\]/\n ],\n variable: [\n /__[A-Z]+__/,\n // FIXME Nested structures should be handled\n // {{formatnum:{{#expr:{{{3}}}}}}}\n /\\{{3}.+?\\}{3}/,\n /\\{\\{.+?\\}\\}/\n ],\n symbol: [/^#redirect/im, /~{3,5}/],\n // Handle table attrs:\n // {|\n // ! style=\"text-align:left;\"| Item\n // |}\n 'table-tag': {\n pattern: /((?:^|[|!])[|!])[^|\\r\\n]+\\|(?!\\|)/m,\n lookbehind: true,\n inside: {\n 'table-bar': {\n pattern: /\\|$/,\n alias: 'punctuation'\n },\n rest: Prism.languages.markup['tag'].inside\n }\n },\n punctuation: /^(?:\\{\\||\\|\\}|\\|-|[*#:;!|])|\\|\\||!!/m\n })\n Prism.languages.insertBefore('wiki', 'tag', {\n // Prevent highlighting inside <nowiki>, <source> and <pre> tags\n nowiki: {\n pattern: /<(nowiki|pre|source)\\b[^>]*>[\\s\\S]*?<\\/\\1>/i,\n inside: {\n tag: {\n pattern: /<(?:nowiki|pre|source)\\b[^>]*>|<\\/(?:nowiki|pre|source)>/i,\n inside: Prism.languages.markup['tag'].inside\n }\n }\n }\n })\n}\n","// @ts-nocheck\nwolfram.displayName = 'wolfram'\nwolfram.aliases = ['mathematica', 'nb', 'wl']\n\n/** @type {import('../core.js').Syntax} */\nexport default function wolfram(Prism) {\n Prism.languages.wolfram = {\n comment:\n // Allow one level of nesting - note: regex taken from applescipt\n /\\(\\*(?:\\(\\*(?:[^*]|\\*(?!\\)))*\\*\\)|(?!\\(\\*)[\\s\\S])*?\\*\\)/,\n string: {\n pattern: /\"(?:\\\\.|[^\"\\\\\\r\\n])*\"/,\n greedy: true\n },\n keyword:\n /\\b(?:Abs|AbsArg|Accuracy|Block|Do|For|Function|If|Manipulate|Module|Nest|NestList|None|Return|Switch|Table|Which|While)\\b/,\n context: {\n pattern: /\\b\\w+`+\\w*/,\n alias: 'class-name'\n },\n blank: {\n pattern: /\\b\\w+_\\b/,\n alias: 'regex'\n },\n 'global-variable': {\n pattern: /\\$\\w+/,\n alias: 'variable'\n },\n boolean: /\\b(?:False|True)\\b/,\n number:\n /(?:\\b(?=\\d)|\\B(?=\\.))(?:0[bo])?(?:(?:\\d|0x[\\da-f])[\\da-f]*(?:\\.\\d*)?|\\.\\d+)(?:e[+-]?\\d+)?j?\\b/i,\n operator:\n /\\/\\.|;|=\\.|\\^=|\\^:=|:=|<<|>>|<\\||\\|>|:>|\\|->|->|<-|@@@|@@|@|\\/@|=!=|===|==|=|\\+|-|\\[\\/-+%=\\]=?|!=|\\*\\*?=?|\\/\\/?=?|<[<=>]?|>[=>]?|[&|^~]/,\n punctuation: /[{}[\\];(),.:]/\n }\n Prism.languages.mathematica = Prism.languages.wolfram\n Prism.languages.wl = Prism.languages.wolfram\n Prism.languages.nb = Prism.languages.wolfram\n}\n","// @ts-nocheck\nwren.displayName = 'wren'\nwren.aliases = []\n\n/** @type {import('../core.js').Syntax} */\nexport default function wren(Prism) {\n // https://wren.io/\n\n Prism.languages.wren = {\n // Multiline comments in Wren can have nested multiline comments\n // Comments: // and /* */\n comment: [\n {\n // support 3 levels of nesting\n // regex: \\/\\*(?:[^*/]|\\*(?!\\/)|\\/(?!\\*)|<self>)*\\*\\/\n pattern:\n /\\/\\*(?:[^*/]|\\*(?!\\/)|\\/(?!\\*)|\\/\\*(?:[^*/]|\\*(?!\\/)|\\/(?!\\*)|\\/\\*(?:[^*/]|\\*(?!\\/)|\\/(?!\\*))*\\*\\/)*\\*\\/)*\\*\\//,\n greedy: true\n },\n {\n pattern: /(^|[^\\\\:])\\/\\/.*/,\n lookbehind: true,\n greedy: true\n }\n ],\n // Triple quoted strings are multiline but cannot have interpolation (raw strings)\n // Based on prism-python.js\n 'triple-quoted-string': {\n pattern: /\"\"\"[\\s\\S]*?\"\"\"/,\n greedy: true,\n alias: 'string'\n },\n // see below\n 'string-literal': null,\n // #!/usr/bin/env wren on the first line\n hashbang: {\n pattern: /^#!\\/.+/,\n greedy: true,\n alias: 'comment'\n },\n // Attributes are special keywords to add meta data to classes\n attribute: {\n // #! attributes are stored in class properties\n // #!myvar = true\n // #attributes are not stored and dismissed at compilation\n pattern: /#!?[ \\t\\u3000]*\\w+/,\n alias: 'keyword'\n },\n 'class-name': [\n {\n // class definition\n // class Meta {}\n pattern: /(\\bclass\\s+)\\w+/,\n lookbehind: true\n },\n // A class must always start with an uppercase.\n // File.read\n /\\b[A-Z][a-z\\d_]*\\b/\n ],\n // A constant can be a variable, class, property or method. Just named in all uppercase letters\n constant: /\\b[A-Z][A-Z\\d_]*\\b/,\n null: {\n pattern: /\\bnull\\b/,\n alias: 'keyword'\n },\n keyword:\n /\\b(?:as|break|class|construct|continue|else|for|foreign|if|import|in|is|return|static|super|this|var|while)\\b/,\n boolean: /\\b(?:false|true)\\b/,\n number: /\\b(?:0x[\\da-f]+|\\d+(?:\\.\\d+)?(?:e[+-]?\\d+)?)\\b/i,\n // Functions can be Class.method()\n function: /\\b[a-z_]\\w*(?=\\s*[({])/i,\n operator: /<<|>>|[=!<>]=?|&&|\\|\\||[-+*/%~^&|?:]|\\.{2,3}/,\n punctuation: /[\\[\\](){}.,;]/\n }\n Prism.languages.wren['string-literal'] = {\n // A single quote string is multiline and can have interpolation (similar to JS backticks ``)\n pattern:\n /(^|[^\\\\\"])\"(?:[^\\\\\"%]|\\\\[\\s\\S]|%(?!\\()|%\\((?:[^()]|\\((?:[^()]|\\([^)]*\\))*\\))*\\))*\"/,\n lookbehind: true,\n greedy: true,\n inside: {\n interpolation: {\n // \"%(interpolation)\"\n pattern:\n /((?:^|[^\\\\])(?:\\\\{2})*)%\\((?:[^()]|\\((?:[^()]|\\([^)]*\\))*\\))*\\)/,\n lookbehind: true,\n inside: {\n expression: {\n pattern: /^(%\\()[\\s\\S]+(?=\\)$)/,\n lookbehind: true,\n inside: Prism.languages.wren\n },\n 'interpolation-punctuation': {\n pattern: /^%\\(|\\)$/,\n alias: 'punctuation'\n }\n }\n },\n string: /[\\s\\S]+/\n }\n }\n}\n","// @ts-nocheck\nimport refractorMarkup from './markup.js'\nxeora.displayName = 'xeora'\nxeora.aliases = ['xeoracube']\n\n/** @type {import('../core.js').Syntax} */\nexport default function xeora(Prism) {\n Prism.register(refractorMarkup)\n ;(function (Prism) {\n Prism.languages.xeora = Prism.languages.extend('markup', {\n constant: {\n pattern: /\\$(?:DomainContents|PageRenderDuration)\\$/,\n inside: {\n punctuation: {\n pattern: /\\$/\n }\n }\n },\n variable: {\n pattern: /\\$@?(?:#+|[-+*~=^])?[\\w.]+\\$/,\n inside: {\n punctuation: {\n pattern: /[$.]/\n },\n operator: {\n pattern: /#+|[-+*~=^@]/\n }\n }\n },\n 'function-inline': {\n pattern:\n /\\$F:[-\\w.]+\\?[-\\w.]+(?:,(?:(?:@[-#]*\\w+\\.[\\w+.]\\.*)*\\|)*(?:(?:[\\w+]|[-#*.~^]+[\\w+]|=\\S)(?:[^$=]|=+[^=])*=*|(?:@[-#]*\\w+\\.[\\w+.]\\.*)+(?:(?:[\\w+]|[-#*~^][-#*.~^]*[\\w+]|=\\S)(?:[^$=]|=+[^=])*=*)?)?)?\\$/,\n inside: {\n variable: {\n pattern: /(?:[,|])@?(?:#+|[-+*~=^])?[\\w.]+/,\n inside: {\n punctuation: {\n pattern: /[,.|]/\n },\n operator: {\n pattern: /#+|[-+*~=^@]/\n }\n }\n },\n punctuation: {\n pattern: /\\$\\w:|[$:?.,|]/\n }\n },\n alias: 'function'\n },\n 'function-block': {\n pattern:\n /\\$XF:\\{[-\\w.]+\\?[-\\w.]+(?:,(?:(?:@[-#]*\\w+\\.[\\w+.]\\.*)*\\|)*(?:(?:[\\w+]|[-#*.~^]+[\\w+]|=\\S)(?:[^$=]|=+[^=])*=*|(?:@[-#]*\\w+\\.[\\w+.]\\.*)+(?:(?:[\\w+]|[-#*~^][-#*.~^]*[\\w+]|=\\S)(?:[^$=]|=+[^=])*=*)?)?)?\\}:XF\\$/,\n inside: {\n punctuation: {\n pattern: /[$:{}?.,|]/\n }\n },\n alias: 'function'\n },\n 'directive-inline': {\n pattern: /\\$\\w(?:#\\d+\\+?)?(?:\\[[-\\w.]+\\])?:[-\\/\\w.]+\\$/,\n inside: {\n punctuation: {\n pattern: /\\$(?:\\w:|C(?:\\[|#\\d))?|[:{[\\]]/,\n inside: {\n tag: {\n pattern: /#\\d/\n }\n }\n }\n },\n alias: 'function'\n },\n 'directive-block-open': {\n pattern:\n /\\$\\w+:\\{|\\$\\w(?:#\\d+\\+?)?(?:\\[[-\\w.]+\\])?:[-\\w.]+:\\{(?:![A-Z]+)?/,\n inside: {\n punctuation: {\n pattern: /\\$(?:\\w:|C(?:\\[|#\\d))?|[:{[\\]]/,\n inside: {\n tag: {\n pattern: /#\\d/\n }\n }\n },\n attribute: {\n pattern: /![A-Z]+$/,\n inside: {\n punctuation: {\n pattern: /!/\n }\n },\n alias: 'keyword'\n }\n },\n alias: 'function'\n },\n 'directive-block-separator': {\n pattern: /\\}:[-\\w.]+:\\{/,\n inside: {\n punctuation: {\n pattern: /[:{}]/\n }\n },\n alias: 'function'\n },\n 'directive-block-close': {\n pattern: /\\}:[-\\w.]+\\$/,\n inside: {\n punctuation: {\n pattern: /[:{}$]/\n }\n },\n alias: 'function'\n }\n })\n Prism.languages.insertBefore(\n 'inside',\n 'punctuation',\n {\n variable: Prism.languages.xeora['function-inline'].inside['variable']\n },\n Prism.languages.xeora['function-block']\n )\n Prism.languages.xeoracube = Prism.languages.xeora\n })(Prism)\n}\n","// @ts-nocheck\nimport refractorMarkup from './markup.js'\nxmlDoc.displayName = 'xml-doc'\nxmlDoc.aliases = []\n\n/** @type {import('../core.js').Syntax} */\nexport default function xmlDoc(Prism) {\n Prism.register(refractorMarkup)\n ;(function (Prism) {\n /**\n * If the given language is present, it will insert the given doc comment grammar token into it.\n *\n * @param {string} lang\n * @param {any} docComment\n */\n function insertDocComment(lang, docComment) {\n if (Prism.languages[lang]) {\n Prism.languages.insertBefore(lang, 'comment', {\n 'doc-comment': docComment\n })\n }\n }\n var tag = Prism.languages.markup.tag\n var slashDocComment = {\n pattern: /\\/\\/\\/.*/,\n greedy: true,\n alias: 'comment',\n inside: {\n tag: tag\n }\n }\n var tickDocComment = {\n pattern: /'''.*/,\n greedy: true,\n alias: 'comment',\n inside: {\n tag: tag\n }\n }\n insertDocComment('csharp', slashDocComment)\n insertDocComment('fsharp', slashDocComment)\n insertDocComment('vbnet', tickDocComment)\n })(Prism)\n}\n","// @ts-nocheck\nxojo.displayName = 'xojo'\nxojo.aliases = []\n\n/** @type {import('../core.js').Syntax} */\nexport default function xojo(Prism) {\n Prism.languages.xojo = {\n comment: {\n pattern: /(?:'|\\/\\/|Rem\\b).+/i,\n greedy: true\n },\n string: {\n pattern: /\"(?:\"\"|[^\"])*\"/,\n greedy: true\n },\n number: [/(?:\\b\\d+(?:\\.\\d*)?|\\B\\.\\d+)(?:E[+-]?\\d+)?/i, /&[bchou][a-z\\d]+/i],\n directive: {\n pattern: /#(?:Else|ElseIf|Endif|If|Pragma)\\b/i,\n alias: 'property'\n },\n keyword:\n /\\b(?:AddHandler|App|Array|As(?:signs)?|Auto|Boolean|Break|By(?:Ref|Val)|Byte|Call|Case|Catch|CFStringRef|CGFloat|Class|Color|Const|Continue|CString|Currency|CurrentMethodName|Declare|Delegate|Dim|Do(?:uble|wnTo)?|Each|Else(?:If)?|End|Enumeration|Event|Exception|Exit|Extends|False|Finally|For|Function|Get|GetTypeInfo|Global|GOTO|If|Implements|In|Inherits|Int(?:8|16|32|64|eger|erface)?|Lib|Loop|Me|Module|Next|Nil|Object|Optional|OSType|ParamArray|Private|Property|Protected|PString|Ptr|Raise(?:Event)?|ReDim|RemoveHandler|Return|Select(?:or)?|Self|Set|Shared|Short|Single|Soft|Static|Step|String|Sub|Super|Text|Then|To|True|Try|Ubound|UInt(?:8|16|32|64|eger)?|Until|Using|Var(?:iant)?|Wend|While|WindowPtr|WString)\\b/i,\n operator:\n /<[=>]?|>=?|[+\\-*\\/\\\\^=]|\\b(?:AddressOf|And|Ctype|IsA?|Mod|New|Not|Or|WeakAddressOf|Xor)\\b/i,\n punctuation: /[.,;:()]/\n }\n}\n","// @ts-nocheck\nimport refractorMarkup from './markup.js'\nxquery.displayName = 'xquery'\nxquery.aliases = []\n\n/** @type {import('../core.js').Syntax} */\nexport default function xquery(Prism) {\n Prism.register(refractorMarkup)\n ;(function (Prism) {\n Prism.languages.xquery = Prism.languages.extend('markup', {\n 'xquery-comment': {\n pattern: /\\(:[\\s\\S]*?:\\)/,\n greedy: true,\n alias: 'comment'\n },\n string: {\n pattern: /([\"'])(?:\\1\\1|(?!\\1)[\\s\\S])*\\1/,\n greedy: true\n },\n extension: {\n pattern: /\\(#.+?#\\)/,\n alias: 'symbol'\n },\n variable: /\\$[-\\w:]+/,\n axis: {\n pattern:\n /(^|[^-])(?:ancestor(?:-or-self)?|attribute|child|descendant(?:-or-self)?|following(?:-sibling)?|parent|preceding(?:-sibling)?|self)(?=::)/,\n lookbehind: true,\n alias: 'operator'\n },\n 'keyword-operator': {\n pattern:\n /(^|[^:-])\\b(?:and|castable as|div|eq|except|ge|gt|idiv|instance of|intersect|is|le|lt|mod|ne|or|union)\\b(?=$|[^:-])/,\n lookbehind: true,\n alias: 'operator'\n },\n keyword: {\n pattern:\n /(^|[^:-])\\b(?:as|ascending|at|base-uri|boundary-space|case|cast as|collation|construction|copy-namespaces|declare|default|descending|else|empty (?:greatest|least)|encoding|every|external|for|function|if|import|in|inherit|lax|let|map|module|namespace|no-inherit|no-preserve|option|order(?: by|ed|ing)?|preserve|return|satisfies|schema|some|stable|strict|strip|then|to|treat as|typeswitch|unordered|validate|variable|version|where|xquery)\\b(?=$|[^:-])/,\n lookbehind: true\n },\n function: /[\\w-]+(?::[\\w-]+)*(?=\\s*\\()/,\n 'xquery-element': {\n pattern: /(element\\s+)[\\w-]+(?::[\\w-]+)*/,\n lookbehind: true,\n alias: 'tag'\n },\n 'xquery-attribute': {\n pattern: /(attribute\\s+)[\\w-]+(?::[\\w-]+)*/,\n lookbehind: true,\n alias: 'attr-name'\n },\n builtin: {\n pattern:\n /(^|[^:-])\\b(?:attribute|comment|document|element|processing-instruction|text|xs:(?:ENTITIES|ENTITY|ID|IDREFS?|NCName|NMTOKENS?|NOTATION|Name|QName|anyAtomicType|anyType|anyURI|base64Binary|boolean|byte|date|dateTime|dayTimeDuration|decimal|double|duration|float|gDay|gMonth|gMonthDay|gYear|gYearMonth|hexBinary|int|integer|language|long|negativeInteger|nonNegativeInteger|nonPositiveInteger|normalizedString|positiveInteger|short|string|time|token|unsigned(?:Byte|Int|Long|Short)|untyped(?:Atomic)?|yearMonthDuration))\\b(?=$|[^:-])/,\n lookbehind: true\n },\n number: /\\b\\d+(?:\\.\\d+)?(?:E[+-]?\\d+)?/,\n operator: [\n /[+*=?|@]|\\.\\.?|:=|!=|<[=<]?|>[=>]?/,\n {\n pattern: /(\\s)-(?=\\s)/,\n lookbehind: true\n }\n ],\n punctuation: /[[\\](){},;:/]/\n })\n Prism.languages.xquery.tag.pattern =\n /<\\/?(?!\\d)[^\\s>\\/=$<%]+(?:\\s+[^\\s>\\/=]+(?:=(?:(\"|')(?:\\\\[\\s\\S]|\\{(?!\\{)(?:\\{(?:\\{[^{}]*\\}|[^{}])*\\}|[^{}])+\\}|(?!\\1)[^\\\\])*\\1|[^\\s'\">=]+))?)*\\s*\\/?>/\n Prism.languages.xquery['tag'].inside['attr-value'].pattern =\n /=(?:(\"|')(?:\\\\[\\s\\S]|\\{(?!\\{)(?:\\{(?:\\{[^{}]*\\}|[^{}])*\\}|[^{}])+\\}|(?!\\1)[^\\\\])*\\1|[^\\s'\">=]+)/\n Prism.languages.xquery['tag'].inside['attr-value'].inside['punctuation'] =\n /^=\"|\"$/\n Prism.languages.xquery['tag'].inside['attr-value'].inside['expression'] = {\n // Allow for two levels of nesting\n pattern: /\\{(?!\\{)(?:\\{(?:\\{[^{}]*\\}|[^{}])*\\}|[^{}])+\\}/,\n inside: Prism.languages.xquery,\n alias: 'language-xquery'\n }\n\n // The following will handle plain text inside tags\n var stringifyToken = function (token) {\n if (typeof token === 'string') {\n return token\n }\n if (typeof token.content === 'string') {\n return token.content\n }\n return token.content.map(stringifyToken).join('')\n }\n var walkTokens = function (tokens) {\n var openedTags = []\n for (var i = 0; i < tokens.length; i++) {\n var token = tokens[i]\n var notTagNorBrace = false\n if (typeof token !== 'string') {\n if (\n token.type === 'tag' &&\n token.content[0] &&\n token.content[0].type === 'tag'\n ) {\n // We found a tag, now find its kind\n\n if (token.content[0].content[0].content === '</') {\n // Closing tag\n if (\n openedTags.length > 0 &&\n openedTags[openedTags.length - 1].tagName ===\n stringifyToken(token.content[0].content[1])\n ) {\n // Pop matching opening tag\n openedTags.pop()\n }\n } else {\n if (token.content[token.content.length - 1].content === '/>') {\n // Autoclosed tag, ignore\n } else {\n // Opening tag\n openedTags.push({\n tagName: stringifyToken(token.content[0].content[1]),\n openedBraces: 0\n })\n }\n }\n } else if (\n openedTags.length > 0 &&\n token.type === 'punctuation' &&\n token.content === '{' &&\n // Ignore `{{`\n (!tokens[i + 1] ||\n tokens[i + 1].type !== 'punctuation' ||\n tokens[i + 1].content !== '{') &&\n (!tokens[i - 1] ||\n tokens[i - 1].type !== 'plain-text' ||\n tokens[i - 1].content !== '{')\n ) {\n // Here we might have entered an XQuery expression inside a tag\n openedTags[openedTags.length - 1].openedBraces++\n } else if (\n openedTags.length > 0 &&\n openedTags[openedTags.length - 1].openedBraces > 0 &&\n token.type === 'punctuation' &&\n token.content === '}'\n ) {\n // Here we might have left an XQuery expression inside a tag\n openedTags[openedTags.length - 1].openedBraces--\n } else if (token.type !== 'comment') {\n notTagNorBrace = true\n }\n }\n if (notTagNorBrace || typeof token === 'string') {\n if (\n openedTags.length > 0 &&\n openedTags[openedTags.length - 1].openedBraces === 0\n ) {\n // Here we are inside a tag, and not inside an XQuery expression.\n // That's plain text: drop any tokens matched.\n var plainText = stringifyToken(token)\n\n // And merge text with adjacent text\n if (\n i < tokens.length - 1 &&\n (typeof tokens[i + 1] === 'string' ||\n tokens[i + 1].type === 'plain-text')\n ) {\n plainText += stringifyToken(tokens[i + 1])\n tokens.splice(i + 1, 1)\n }\n if (\n i > 0 &&\n (typeof tokens[i - 1] === 'string' ||\n tokens[i - 1].type === 'plain-text')\n ) {\n plainText = stringifyToken(tokens[i - 1]) + plainText\n tokens.splice(i - 1, 1)\n i--\n }\n if (/^\\s+$/.test(plainText)) {\n tokens[i] = plainText\n } else {\n tokens[i] = new Prism.Token(\n 'plain-text',\n plainText,\n null,\n plainText\n )\n }\n }\n }\n if (token.content && typeof token.content !== 'string') {\n walkTokens(token.content)\n }\n }\n }\n Prism.hooks.add('after-tokenize', function (env) {\n if (env.language !== 'xquery') {\n return\n }\n walkTokens(env.tokens)\n })\n })(Prism)\n}\n","// @ts-nocheck\nyang.displayName = 'yang'\nyang.aliases = []\n\n/** @type {import('../core.js').Syntax} */\nexport default function yang(Prism) {\n Prism.languages.yang = {\n // https://tools.ietf.org/html/rfc6020#page-34\n // http://www.yang-central.org/twiki/bin/view/Main/YangExamples\n comment: /\\/\\*[\\s\\S]*?\\*\\/|\\/\\/.*/,\n string: {\n pattern: /\"(?:[^\\\\\"]|\\\\.)*\"|'[^']*'/,\n greedy: true\n },\n keyword: {\n pattern: /(^|[{};\\r\\n][ \\t]*)[a-z_][\\w.-]*/i,\n lookbehind: true\n },\n namespace: {\n pattern: /(\\s)[a-z_][\\w.-]*(?=:)/i,\n lookbehind: true\n },\n boolean: /\\b(?:false|true)\\b/,\n operator: /\\+/,\n punctuation: /[{};:]/\n }\n}\n","// @ts-nocheck\nzig.displayName = 'zig'\nzig.aliases = []\n\n/** @type {import('../core.js').Syntax} */\nexport default function zig(Prism) {\n ;(function (Prism) {\n function literal(str) {\n return function () {\n return str\n }\n }\n var keyword =\n /\\b(?:align|allowzero|and|anyframe|anytype|asm|async|await|break|cancel|catch|comptime|const|continue|defer|else|enum|errdefer|error|export|extern|fn|for|if|inline|linksection|nakedcc|noalias|nosuspend|null|or|orelse|packed|promise|pub|resume|return|stdcallcc|struct|suspend|switch|test|threadlocal|try|undefined|union|unreachable|usingnamespace|var|volatile|while)\\b/\n var IDENTIFIER = '\\\\b(?!' + keyword.source + ')(?!\\\\d)\\\\w+\\\\b'\n var ALIGN = /align\\s*\\((?:[^()]|\\([^()]*\\))*\\)/.source\n var PREFIX_TYPE_OP =\n /(?:\\?|\\bpromise->|(?:\\[[^[\\]]*\\]|\\*(?!\\*)|\\*\\*)(?:\\s*<ALIGN>|\\s*const\\b|\\s*volatile\\b|\\s*allowzero\\b)*)/.source.replace(\n /<ALIGN>/g,\n literal(ALIGN)\n )\n var SUFFIX_EXPR =\n /(?:\\bpromise\\b|(?:\\berror\\.)?<ID>(?:\\.<ID>)*(?!\\s+<ID>))/.source.replace(\n /<ID>/g,\n literal(IDENTIFIER)\n )\n var TYPE =\n '(?!\\\\s)(?:!?\\\\s*(?:' + PREFIX_TYPE_OP + '\\\\s*)*' + SUFFIX_EXPR + ')+'\n\n /*\n * A simplified grammar for Zig compile time type literals:\n *\n * TypeExpr = ( \"!\"? PREFIX_TYPE_OP* SUFFIX_EXPR )+\n *\n * SUFFIX_EXPR = ( \\b \"promise\" \\b | ( \\b \"error\" \".\" )? IDENTIFIER ( \".\" IDENTIFIER )* (?! \\s+ IDENTIFIER ) )\n *\n * PREFIX_TYPE_OP = \"?\"\n * | \\b \"promise\" \"->\"\n * | ( \"[\" [^\\[\\]]* \"]\" | \"*\" | \"**\" ) ( ALIGN | \"const\" \\b | \"volatile\" \\b | \"allowzero\" \\b )*\n *\n * ALIGN = \"align\" \"(\" ( [^()] | \"(\" [^()]* \")\" )* \")\"\n *\n * IDENTIFIER = \\b (?! KEYWORD ) [a-zA-Z_] \\w* \\b\n *\n */\n\n Prism.languages.zig = {\n comment: [\n {\n pattern: /\\/\\/[/!].*/,\n alias: 'doc-comment'\n },\n /\\/{2}.*/\n ],\n string: [\n {\n // \"string\" and c\"string\"\n pattern: /(^|[^\\\\@])c?\"(?:[^\"\\\\\\r\\n]|\\\\.)*\"/,\n lookbehind: true,\n greedy: true\n },\n {\n // multiline strings and c-strings\n pattern: /([\\r\\n])([ \\t]+c?\\\\{2}).*(?:(?:\\r\\n?|\\n)\\2.*)*/,\n lookbehind: true,\n greedy: true\n }\n ],\n char: {\n // characters 'a', '\\n', '\\xFF', '\\u{10FFFF}'\n pattern:\n /(^|[^\\\\])'(?:[^'\\\\\\r\\n]|[\\uD800-\\uDFFF]{2}|\\\\(?:.|x[a-fA-F\\d]{2}|u\\{[a-fA-F\\d]{1,6}\\}))'/,\n lookbehind: true,\n greedy: true\n },\n builtin: /\\B@(?!\\d)\\w+(?=\\s*\\()/,\n label: {\n pattern:\n /(\\b(?:break|continue)\\s*:\\s*)\\w+\\b|\\b(?!\\d)\\w+\\b(?=\\s*:\\s*(?:\\{|while\\b))/,\n lookbehind: true\n },\n 'class-name': [\n // const Foo = struct {};\n /\\b(?!\\d)\\w+(?=\\s*=\\s*(?:(?:extern|packed)\\s+)?(?:enum|struct|union)\\s*[({])/,\n {\n // const x: i32 = 9;\n // var x: Bar;\n // fn foo(x: bool, y: f32) void {}\n pattern: RegExp(\n /(:\\s*)<TYPE>(?=\\s*(?:<ALIGN>\\s*)?[=;,)])|<TYPE>(?=\\s*(?:<ALIGN>\\s*)?\\{)/.source\n .replace(/<TYPE>/g, literal(TYPE))\n .replace(/<ALIGN>/g, literal(ALIGN))\n ),\n lookbehind: true,\n inside: null // see below\n },\n {\n // extern fn foo(x: f64) f64; (optional alignment)\n pattern: RegExp(\n /(\\)\\s*)<TYPE>(?=\\s*(?:<ALIGN>\\s*)?;)/.source\n .replace(/<TYPE>/g, literal(TYPE))\n .replace(/<ALIGN>/g, literal(ALIGN))\n ),\n lookbehind: true,\n inside: null // see below\n }\n ],\n\n 'builtin-type': {\n pattern:\n /\\b(?:anyerror|bool|c_u?(?:int|long|longlong|short)|c_longdouble|c_void|comptime_(?:float|int)|f(?:16|32|64|128)|[iu](?:8|16|32|64|128|size)|noreturn|type|void)\\b/,\n alias: 'keyword'\n },\n keyword: keyword,\n function: /\\b(?!\\d)\\w+(?=\\s*\\()/,\n number:\n /\\b(?:0b[01]+|0o[0-7]+|0x[a-fA-F\\d]+(?:\\.[a-fA-F\\d]*)?(?:[pP][+-]?[a-fA-F\\d]+)?|\\d+(?:\\.\\d*)?(?:[eE][+-]?\\d+)?)\\b/,\n boolean: /\\b(?:false|true)\\b/,\n operator:\n /\\.[*?]|\\.{2,3}|[-=]>|\\*\\*|\\+\\+|\\|\\||(?:<<|>>|[-+*]%|[-+*/%^&|<>!=])=?|[?~]/,\n punctuation: /[.:,;(){}[\\]]/\n }\n Prism.languages.zig['class-name'].forEach(function (obj) {\n if (obj.inside === null) {\n obj.inside = Prism.languages.zig\n }\n })\n })(Prism)\n}\n","/**\n * @typedef {import('./core.js').RefractorRoot} RefractorRoot\n * @typedef {import('./core.js').RefractorElement} RefractorElement\n * @typedef {import('./core.js').Text} Text\n * @typedef {import('./core.js').Grammar} Grammar\n * @typedef {import('./core.js').Syntax} Syntax\n */\nimport markup from '../lang/markup.js'\nimport css from '../lang/css.js'\nimport clike from '../lang/clike.js'\nimport regex from '../lang/regex.js'\nimport javascript from '../lang/javascript.js'\nimport abap from '../lang/abap.js'\nimport abnf from '../lang/abnf.js'\nimport actionscript from '../lang/actionscript.js'\nimport ada from '../lang/ada.js'\nimport agda from '../lang/agda.js'\nimport al from '../lang/al.js'\nimport antlr4 from '../lang/antlr4.js'\nimport apacheconf from '../lang/apacheconf.js'\nimport sql from '../lang/sql.js'\nimport apex from '../lang/apex.js'\nimport apl from '../lang/apl.js'\nimport applescript from '../lang/applescript.js'\nimport aql from '../lang/aql.js'\nimport c from '../lang/c.js'\nimport cpp from '../lang/cpp.js'\nimport arduino from '../lang/arduino.js'\nimport arff from '../lang/arff.js'\nimport armasm from '../lang/armasm.js'\nimport bash from '../lang/bash.js'\nimport yaml from '../lang/yaml.js'\nimport markdown from '../lang/markdown.js'\nimport arturo from '../lang/arturo.js'\nimport asciidoc from '../lang/asciidoc.js'\nimport csharp from '../lang/csharp.js'\nimport aspnet from '../lang/aspnet.js'\nimport asm6502 from '../lang/asm6502.js'\nimport asmatmel from '../lang/asmatmel.js'\nimport autohotkey from '../lang/autohotkey.js'\nimport autoit from '../lang/autoit.js'\nimport avisynth from '../lang/avisynth.js'\nimport avroIdl from '../lang/avro-idl.js'\nimport awk from '../lang/awk.js'\nimport basic from '../lang/basic.js'\nimport batch from '../lang/batch.js'\nimport bbcode from '../lang/bbcode.js'\nimport bbj from '../lang/bbj.js'\nimport bicep from '../lang/bicep.js'\nimport birb from '../lang/birb.js'\nimport bison from '../lang/bison.js'\nimport bnf from '../lang/bnf.js'\nimport bqn from '../lang/bqn.js'\nimport brainfuck from '../lang/brainfuck.js'\nimport brightscript from '../lang/brightscript.js'\nimport bro from '../lang/bro.js'\nimport bsl from '../lang/bsl.js'\nimport cfscript from '../lang/cfscript.js'\nimport chaiscript from '../lang/chaiscript.js'\nimport cil from '../lang/cil.js'\nimport cilkc from '../lang/cilkc.js'\nimport cilkcpp from '../lang/cilkcpp.js'\nimport clojure from '../lang/clojure.js'\nimport cmake from '../lang/cmake.js'\nimport cobol from '../lang/cobol.js'\nimport coffeescript from '../lang/coffeescript.js'\nimport concurnas from '../lang/concurnas.js'\nimport csp from '../lang/csp.js'\nimport cooklang from '../lang/cooklang.js'\nimport coq from '../lang/coq.js'\nimport ruby from '../lang/ruby.js'\nimport crystal from '../lang/crystal.js'\nimport cssExtras from '../lang/css-extras.js'\nimport csv from '../lang/csv.js'\nimport cue from '../lang/cue.js'\nimport cypher from '../lang/cypher.js'\nimport d from '../lang/d.js'\nimport dart from '../lang/dart.js'\nimport dataweave from '../lang/dataweave.js'\nimport dax from '../lang/dax.js'\nimport dhall from '../lang/dhall.js'\nimport diff from '../lang/diff.js'\nimport markupTemplating from '../lang/markup-templating.js'\nimport django from '../lang/django.js'\nimport dnsZoneFile from '../lang/dns-zone-file.js'\nimport docker from '../lang/docker.js'\nimport dot from '../lang/dot.js'\nimport ebnf from '../lang/ebnf.js'\nimport editorconfig from '../lang/editorconfig.js'\nimport eiffel from '../lang/eiffel.js'\nimport ejs from '../lang/ejs.js'\nimport elixir from '../lang/elixir.js'\nimport elm from '../lang/elm.js'\nimport lua from '../lang/lua.js'\nimport etlua from '../lang/etlua.js'\nimport erb from '../lang/erb.js'\nimport erlang from '../lang/erlang.js'\nimport excelFormula from '../lang/excel-formula.js'\nimport fsharp from '../lang/fsharp.js'\nimport factor from '../lang/factor.js'\nimport $false from '../lang/false.js'\nimport firestoreSecurityRules from '../lang/firestore-security-rules.js'\nimport flow from '../lang/flow.js'\nimport fortran from '../lang/fortran.js'\nimport ftl from '../lang/ftl.js'\nimport gml from '../lang/gml.js'\nimport gap from '../lang/gap.js'\nimport gcode from '../lang/gcode.js'\nimport gdscript from '../lang/gdscript.js'\nimport gedcom from '../lang/gedcom.js'\nimport gettext from '../lang/gettext.js'\nimport gherkin from '../lang/gherkin.js'\nimport git from '../lang/git.js'\nimport glsl from '../lang/glsl.js'\nimport gn from '../lang/gn.js'\nimport linkerScript from '../lang/linker-script.js'\nimport go from '../lang/go.js'\nimport goModule from '../lang/go-module.js'\nimport gradle from '../lang/gradle.js'\nimport graphql from '../lang/graphql.js'\nimport groovy from '../lang/groovy.js'\nimport less from '../lang/less.js'\nimport scss from '../lang/scss.js'\nimport textile from '../lang/textile.js'\nimport haml from '../lang/haml.js'\nimport handlebars from '../lang/handlebars.js'\nimport haskell from '../lang/haskell.js'\nimport haxe from '../lang/haxe.js'\nimport hcl from '../lang/hcl.js'\nimport hlsl from '../lang/hlsl.js'\nimport hoon from '../lang/hoon.js'\nimport hpkp from '../lang/hpkp.js'\nimport hsts from '../lang/hsts.js'\nimport json from '../lang/json.js'\nimport uri from '../lang/uri.js'\nimport http from '../lang/http.js'\nimport ichigojam from '../lang/ichigojam.js'\nimport icon from '../lang/icon.js'\nimport icuMessageFormat from '../lang/icu-message-format.js'\nimport idris from '../lang/idris.js'\nimport ignore from '../lang/ignore.js'\nimport inform7 from '../lang/inform7.js'\nimport ini from '../lang/ini.js'\nimport io from '../lang/io.js'\nimport j from '../lang/j.js'\nimport java from '../lang/java.js'\nimport php from '../lang/php.js'\nimport javadoclike from '../lang/javadoclike.js'\nimport scala from '../lang/scala.js'\nimport javadoc from '../lang/javadoc.js'\nimport javastacktrace from '../lang/javastacktrace.js'\nimport jexl from '../lang/jexl.js'\nimport jolie from '../lang/jolie.js'\nimport jq from '../lang/jq.js'\nimport jsTemplates from '../lang/js-templates.js'\nimport typescript from '../lang/typescript.js'\nimport jsdoc from '../lang/jsdoc.js'\nimport n4js from '../lang/n4js.js'\nimport jsExtras from '../lang/js-extras.js'\nimport json5 from '../lang/json5.js'\nimport jsonp from '../lang/jsonp.js'\nimport jsstacktrace from '../lang/jsstacktrace.js'\nimport julia from '../lang/julia.js'\nimport keepalived from '../lang/keepalived.js'\nimport keyman from '../lang/keyman.js'\nimport kotlin from '../lang/kotlin.js'\nimport kumir from '../lang/kumir.js'\nimport kusto from '../lang/kusto.js'\nimport latex from '../lang/latex.js'\nimport latte from '../lang/latte.js'\nimport scheme from '../lang/scheme.js'\nimport lilypond from '../lang/lilypond.js'\nimport liquid from '../lang/liquid.js'\nimport lisp from '../lang/lisp.js'\nimport livescript from '../lang/livescript.js'\nimport llvm from '../lang/llvm.js'\nimport log from '../lang/log.js'\nimport lolcode from '../lang/lolcode.js'\nimport magma from '../lang/magma.js'\nimport makefile from '../lang/makefile.js'\nimport mata from '../lang/mata.js'\nimport matlab from '../lang/matlab.js'\nimport maxscript from '../lang/maxscript.js'\nimport mel from '../lang/mel.js'\nimport mermaid from '../lang/mermaid.js'\nimport metafont from '../lang/metafont.js'\nimport mizar from '../lang/mizar.js'\nimport mongodb from '../lang/mongodb.js'\nimport monkey from '../lang/monkey.js'\nimport moonscript from '../lang/moonscript.js'\nimport n1ql from '../lang/n1ql.js'\nimport nand2tetrisHdl from '../lang/nand2tetris-hdl.js'\nimport naniscript from '../lang/naniscript.js'\nimport nasm from '../lang/nasm.js'\nimport neon from '../lang/neon.js'\nimport nevod from '../lang/nevod.js'\nimport nginx from '../lang/nginx.js'\nimport nim from '../lang/nim.js'\nimport nix from '../lang/nix.js'\nimport nsis from '../lang/nsis.js'\nimport objectivec from '../lang/objectivec.js'\nimport ocaml from '../lang/ocaml.js'\nimport odin from '../lang/odin.js'\nimport opencl from '../lang/opencl.js'\nimport openqasm from '../lang/openqasm.js'\nimport oz from '../lang/oz.js'\nimport parigp from '../lang/parigp.js'\nimport parser from '../lang/parser.js'\nimport pascal from '../lang/pascal.js'\nimport pascaligo from '../lang/pascaligo.js'\nimport psl from '../lang/psl.js'\nimport pcaxis from '../lang/pcaxis.js'\nimport peoplecode from '../lang/peoplecode.js'\nimport perl from '../lang/perl.js'\nimport phpdoc from '../lang/phpdoc.js'\nimport phpExtras from '../lang/php-extras.js'\nimport plantUml from '../lang/plant-uml.js'\nimport plsql from '../lang/plsql.js'\nimport powerquery from '../lang/powerquery.js'\nimport powershell from '../lang/powershell.js'\nimport processing from '../lang/processing.js'\nimport prolog from '../lang/prolog.js'\nimport promql from '../lang/promql.js'\nimport properties from '../lang/properties.js'\nimport protobuf from '../lang/protobuf.js'\nimport stylus from '../lang/stylus.js'\nimport twig from '../lang/twig.js'\nimport pug from '../lang/pug.js'\nimport puppet from '../lang/puppet.js'\nimport pure from '../lang/pure.js'\nimport purebasic from '../lang/purebasic.js'\nimport purescript from '../lang/purescript.js'\nimport python from '../lang/python.js'\nimport qsharp from '../lang/qsharp.js'\nimport q from '../lang/q.js'\nimport qml from '../lang/qml.js'\nimport qore from '../lang/qore.js'\nimport r from '../lang/r.js'\nimport racket from '../lang/racket.js'\nimport cshtml from '../lang/cshtml.js'\nimport jsx from '../lang/jsx.js'\nimport tsx from '../lang/tsx.js'\nimport reason from '../lang/reason.js'\nimport rego from '../lang/rego.js'\nimport renpy from '../lang/renpy.js'\nimport rescript from '../lang/rescript.js'\nimport rest from '../lang/rest.js'\nimport rip from '../lang/rip.js'\nimport roboconf from '../lang/roboconf.js'\nimport robotframework from '../lang/robotframework.js'\nimport rust from '../lang/rust.js'\nimport sas from '../lang/sas.js'\nimport sass from '../lang/sass.js'\nimport shellSession from '../lang/shell-session.js'\nimport smali from '../lang/smali.js'\nimport smalltalk from '../lang/smalltalk.js'\nimport smarty from '../lang/smarty.js'\nimport sml from '../lang/sml.js'\nimport solidity from '../lang/solidity.js'\nimport solutionFile from '../lang/solution-file.js'\nimport soy from '../lang/soy.js'\nimport turtle from '../lang/turtle.js'\nimport sparql from '../lang/sparql.js'\nimport splunkSpl from '../lang/splunk-spl.js'\nimport sqf from '../lang/sqf.js'\nimport squirrel from '../lang/squirrel.js'\nimport stan from '../lang/stan.js'\nimport stata from '../lang/stata.js'\nimport iecst from '../lang/iecst.js'\nimport supercollider from '../lang/supercollider.js'\nimport swift from '../lang/swift.js'\nimport systemd from '../lang/systemd.js'\nimport t4Templating from '../lang/t4-templating.js'\nimport t4Cs from '../lang/t4-cs.js'\nimport vbnet from '../lang/vbnet.js'\nimport t4Vb from '../lang/t4-vb.js'\nimport tap from '../lang/tap.js'\nimport tcl from '../lang/tcl.js'\nimport tt2 from '../lang/tt2.js'\nimport toml from '../lang/toml.js'\nimport tremor from '../lang/tremor.js'\nimport typoscript from '../lang/typoscript.js'\nimport unrealscript from '../lang/unrealscript.js'\nimport uorazor from '../lang/uorazor.js'\nimport v from '../lang/v.js'\nimport vala from '../lang/vala.js'\nimport velocity from '../lang/velocity.js'\nimport verilog from '../lang/verilog.js'\nimport vhdl from '../lang/vhdl.js'\nimport vim from '../lang/vim.js'\nimport visualBasic from '../lang/visual-basic.js'\nimport warpscript from '../lang/warpscript.js'\nimport wasm from '../lang/wasm.js'\nimport webIdl from '../lang/web-idl.js'\nimport wgsl from '../lang/wgsl.js'\nimport wiki from '../lang/wiki.js'\nimport wolfram from '../lang/wolfram.js'\nimport wren from '../lang/wren.js'\nimport xeora from '../lang/xeora.js'\nimport xmlDoc from '../lang/xml-doc.js'\nimport xojo from '../lang/xojo.js'\nimport xquery from '../lang/xquery.js'\nimport yang from '../lang/yang.js'\nimport zig from '../lang/zig.js'\nimport {refractor} from './core.js'\n\nrefractor.register(markup)\nrefractor.register(css)\nrefractor.register(clike)\nrefractor.register(regex)\nrefractor.register(javascript)\nrefractor.register(abap)\nrefractor.register(abnf)\nrefractor.register(actionscript)\nrefractor.register(ada)\nrefractor.register(agda)\nrefractor.register(al)\nrefractor.register(antlr4)\nrefractor.register(apacheconf)\nrefractor.register(sql)\nrefractor.register(apex)\nrefractor.register(apl)\nrefractor.register(applescript)\nrefractor.register(aql)\nrefractor.register(c)\nrefractor.register(cpp)\nrefractor.register(arduino)\nrefractor.register(arff)\nrefractor.register(armasm)\nrefractor.register(bash)\nrefractor.register(yaml)\nrefractor.register(markdown)\nrefractor.register(arturo)\nrefractor.register(asciidoc)\nrefractor.register(csharp)\nrefractor.register(aspnet)\nrefractor.register(asm6502)\nrefractor.register(asmatmel)\nrefractor.register(autohotkey)\nrefractor.register(autoit)\nrefractor.register(avisynth)\nrefractor.register(avroIdl)\nrefractor.register(awk)\nrefractor.register(basic)\nrefractor.register(batch)\nrefractor.register(bbcode)\nrefractor.register(bbj)\nrefractor.register(bicep)\nrefractor.register(birb)\nrefractor.register(bison)\nrefractor.register(bnf)\nrefractor.register(bqn)\nrefractor.register(brainfuck)\nrefractor.register(brightscript)\nrefractor.register(bro)\nrefractor.register(bsl)\nrefractor.register(cfscript)\nrefractor.register(chaiscript)\nrefractor.register(cil)\nrefractor.register(cilkc)\nrefractor.register(cilkcpp)\nrefractor.register(clojure)\nrefractor.register(cmake)\nrefractor.register(cobol)\nrefractor.register(coffeescript)\nrefractor.register(concurnas)\nrefractor.register(csp)\nrefractor.register(cooklang)\nrefractor.register(coq)\nrefractor.register(ruby)\nrefractor.register(crystal)\nrefractor.register(cssExtras)\nrefractor.register(csv)\nrefractor.register(cue)\nrefractor.register(cypher)\nrefractor.register(d)\nrefractor.register(dart)\nrefractor.register(dataweave)\nrefractor.register(dax)\nrefractor.register(dhall)\nrefractor.register(diff)\nrefractor.register(markupTemplating)\nrefractor.register(django)\nrefractor.register(dnsZoneFile)\nrefractor.register(docker)\nrefractor.register(dot)\nrefractor.register(ebnf)\nrefractor.register(editorconfig)\nrefractor.register(eiffel)\nrefractor.register(ejs)\nrefractor.register(elixir)\nrefractor.register(elm)\nrefractor.register(lua)\nrefractor.register(etlua)\nrefractor.register(erb)\nrefractor.register(erlang)\nrefractor.register(excelFormula)\nrefractor.register(fsharp)\nrefractor.register(factor)\nrefractor.register($false)\nrefractor.register(firestoreSecurityRules)\nrefractor.register(flow)\nrefractor.register(fortran)\nrefractor.register(ftl)\nrefractor.register(gml)\nrefractor.register(gap)\nrefractor.register(gcode)\nrefractor.register(gdscript)\nrefractor.register(gedcom)\nrefractor.register(gettext)\nrefractor.register(gherkin)\nrefractor.register(git)\nrefractor.register(glsl)\nrefractor.register(gn)\nrefractor.register(linkerScript)\nrefractor.register(go)\nrefractor.register(goModule)\nrefractor.register(gradle)\nrefractor.register(graphql)\nrefractor.register(groovy)\nrefractor.register(less)\nrefractor.register(scss)\nrefractor.register(textile)\nrefractor.register(haml)\nrefractor.register(handlebars)\nrefractor.register(haskell)\nrefractor.register(haxe)\nrefractor.register(hcl)\nrefractor.register(hlsl)\nrefractor.register(hoon)\nrefractor.register(hpkp)\nrefractor.register(hsts)\nrefractor.register(json)\nrefractor.register(uri)\nrefractor.register(http)\nrefractor.register(ichigojam)\nrefractor.register(icon)\nrefractor.register(icuMessageFormat)\nrefractor.register(idris)\nrefractor.register(ignore)\nrefractor.register(inform7)\nrefractor.register(ini)\nrefractor.register(io)\nrefractor.register(j)\nrefractor.register(java)\nrefractor.register(php)\nrefractor.register(javadoclike)\nrefractor.register(scala)\nrefractor.register(javadoc)\nrefractor.register(javastacktrace)\nrefractor.register(jexl)\nrefractor.register(jolie)\nrefractor.register(jq)\nrefractor.register(jsTemplates)\nrefractor.register(typescript)\nrefractor.register(jsdoc)\nrefractor.register(n4js)\nrefractor.register(jsExtras)\nrefractor.register(json5)\nrefractor.register(jsonp)\nrefractor.register(jsstacktrace)\nrefractor.register(julia)\nrefractor.register(keepalived)\nrefractor.register(keyman)\nrefractor.register(kotlin)\nrefractor.register(kumir)\nrefractor.register(kusto)\nrefractor.register(latex)\nrefractor.register(latte)\nrefractor.register(scheme)\nrefractor.register(lilypond)\nrefractor.register(liquid)\nrefractor.register(lisp)\nrefractor.register(livescript)\nrefractor.register(llvm)\nrefractor.register(log)\nrefractor.register(lolcode)\nrefractor.register(magma)\nrefractor.register(makefile)\nrefractor.register(mata)\nrefractor.register(matlab)\nrefractor.register(maxscript)\nrefractor.register(mel)\nrefractor.register(mermaid)\nrefractor.register(metafont)\nrefractor.register(mizar)\nrefractor.register(mongodb)\nrefractor.register(monkey)\nrefractor.register(moonscript)\nrefractor.register(n1ql)\nrefractor.register(nand2tetrisHdl)\nrefractor.register(naniscript)\nrefractor.register(nasm)\nrefractor.register(neon)\nrefractor.register(nevod)\nrefractor.register(nginx)\nrefractor.register(nim)\nrefractor.register(nix)\nrefractor.register(nsis)\nrefractor.register(objectivec)\nrefractor.register(ocaml)\nrefractor.register(odin)\nrefractor.register(opencl)\nrefractor.register(openqasm)\nrefractor.register(oz)\nrefractor.register(parigp)\nrefractor.register(parser)\nrefractor.register(pascal)\nrefractor.register(pascaligo)\nrefractor.register(psl)\nrefractor.register(pcaxis)\nrefractor.register(peoplecode)\nrefractor.register(perl)\nrefractor.register(phpdoc)\nrefractor.register(phpExtras)\nrefractor.register(plantUml)\nrefractor.register(plsql)\nrefractor.register(powerquery)\nrefractor.register(powershell)\nrefractor.register(processing)\nrefractor.register(prolog)\nrefractor.register(promql)\nrefractor.register(properties)\nrefractor.register(protobuf)\nrefractor.register(stylus)\nrefractor.register(twig)\nrefractor.register(pug)\nrefractor.register(puppet)\nrefractor.register(pure)\nrefractor.register(purebasic)\nrefractor.register(purescript)\nrefractor.register(python)\nrefractor.register(qsharp)\nrefractor.register(q)\nrefractor.register(qml)\nrefractor.register(qore)\nrefractor.register(r)\nrefractor.register(racket)\nrefractor.register(cshtml)\nrefractor.register(jsx)\nrefractor.register(tsx)\nrefractor.register(reason)\nrefractor.register(rego)\nrefractor.register(renpy)\nrefractor.register(rescript)\nrefractor.register(rest)\nrefractor.register(rip)\nrefractor.register(roboconf)\nrefractor.register(robotframework)\nrefractor.register(rust)\nrefractor.register(sas)\nrefractor.register(sass)\nrefractor.register(shellSession)\nrefractor.register(smali)\nrefractor.register(smalltalk)\nrefractor.register(smarty)\nrefractor.register(sml)\nrefractor.register(solidity)\nrefractor.register(solutionFile)\nrefractor.register(soy)\nrefractor.register(turtle)\nrefractor.register(sparql)\nrefractor.register(splunkSpl)\nrefractor.register(sqf)\nrefractor.register(squirrel)\nrefractor.register(stan)\nrefractor.register(stata)\nrefractor.register(iecst)\nrefractor.register(supercollider)\nrefractor.register(swift)\nrefractor.register(systemd)\nrefractor.register(t4Templating)\nrefractor.register(t4Cs)\nrefractor.register(vbnet)\nrefractor.register(t4Vb)\nrefractor.register(tap)\nrefractor.register(tcl)\nrefractor.register(tt2)\nrefractor.register(toml)\nrefractor.register(tremor)\nrefractor.register(typoscript)\nrefractor.register(unrealscript)\nrefractor.register(uorazor)\nrefractor.register(v)\nrefractor.register(vala)\nrefractor.register(velocity)\nrefractor.register(verilog)\nrefractor.register(vhdl)\nrefractor.register(vim)\nrefractor.register(visualBasic)\nrefractor.register(warpscript)\nrefractor.register(wasm)\nrefractor.register(webIdl)\nrefractor.register(wgsl)\nrefractor.register(wiki)\nrefractor.register(wolfram)\nrefractor.register(wren)\nrefractor.register(xeora)\nrefractor.register(xmlDoc)\nrefractor.register(xojo)\nrefractor.register(xquery)\nrefractor.register(yang)\nrefractor.register(zig)\n\nexport {refractor} from './core.js'\n","/**\n * @typedef {import('./core.js').RefractorRoot} RefractorRoot\n * @typedef {import('./core.js').RefractorElement} RefractorElement\n * @typedef {import('./core.js').Text} Text\n * @typedef {import('./core.js').Grammar} Grammar\n * @typedef {import('./core.js').Syntax} Syntax\n */\nimport clike from '../lang/clike.js'\nimport c from '../lang/c.js'\nimport cpp from '../lang/cpp.js'\nimport arduino from '../lang/arduino.js'\nimport bash from '../lang/bash.js'\nimport csharp from '../lang/csharp.js'\nimport markup from '../lang/markup.js'\nimport css from '../lang/css.js'\nimport diff from '../lang/diff.js'\nimport go from '../lang/go.js'\nimport ini from '../lang/ini.js'\nimport java from '../lang/java.js'\nimport regex from '../lang/regex.js'\nimport javascript from '../lang/javascript.js'\nimport json from '../lang/json.js'\nimport kotlin from '../lang/kotlin.js'\nimport less from '../lang/less.js'\nimport lua from '../lang/lua.js'\nimport makefile from '../lang/makefile.js'\nimport yaml from '../lang/yaml.js'\nimport markdown from '../lang/markdown.js'\nimport objectivec from '../lang/objectivec.js'\nimport perl from '../lang/perl.js'\nimport markupTemplating from '../lang/markup-templating.js'\nimport php from '../lang/php.js'\nimport python from '../lang/python.js'\nimport r from '../lang/r.js'\nimport ruby from '../lang/ruby.js'\nimport rust from '../lang/rust.js'\nimport sass from '../lang/sass.js'\nimport scss from '../lang/scss.js'\nimport sql from '../lang/sql.js'\nimport swift from '../lang/swift.js'\nimport typescript from '../lang/typescript.js'\nimport basic from '../lang/basic.js'\nimport vbnet from '../lang/vbnet.js'\nimport {refractor} from './core.js'\n\nrefractor.register(clike)\nrefractor.register(c)\nrefractor.register(cpp)\nrefractor.register(arduino)\nrefractor.register(bash)\nrefractor.register(csharp)\nrefractor.register(markup)\nrefractor.register(css)\nrefractor.register(diff)\nrefractor.register(go)\nrefractor.register(ini)\nrefractor.register(java)\nrefractor.register(regex)\nrefractor.register(javascript)\nrefractor.register(json)\nrefractor.register(kotlin)\nrefractor.register(less)\nrefractor.register(lua)\nrefractor.register(makefile)\nrefractor.register(yaml)\nrefractor.register(markdown)\nrefractor.register(objectivec)\nrefractor.register(perl)\nrefractor.register(markupTemplating)\nrefractor.register(php)\nrefractor.register(python)\nrefractor.register(r)\nrefractor.register(ruby)\nrefractor.register(rust)\nrefractor.register(sass)\nrefractor.register(scss)\nrefractor.register(sql)\nrefractor.register(swift)\nrefractor.register(typescript)\nrefractor.register(basic)\nrefractor.register(vbnet)\n\nexport {refractor} from './core.js'\n","// @ts-nocheck\n\n// This is a slimmed down version of `prism-core.js`, to remove globals,\n// document, workers, `util.encode`, `Token.stringify`\n\n// Private helper vars\nvar lang = /(?:^|\\s)lang(?:uage)?-([\\w-]+)(?=\\s|$)/i\nvar uniqueId = 0\n\n// The grammar object for plaintext\nvar plainTextGrammar = {}\n\nvar _ = {\n /**\n * A namespace for utility methods.\n *\n * All function in this namespace that are not explicitly marked as _public_ are for __internal use only__ and may\n * change or disappear at any time.\n *\n * @namespace\n * @memberof Prism\n */\n util: {\n /**\n * Returns the name of the type of the given value.\n *\n * @param {any} o\n * @returns {string}\n * @example\n * type(null) === 'Null'\n * type(undefined) === 'Undefined'\n * type(123) === 'Number'\n * type('foo') === 'String'\n * type(true) === 'Boolean'\n * type([1, 2]) === 'Array'\n * type({}) === 'Object'\n * type(String) === 'Function'\n * type(/abc+/) === 'RegExp'\n */\n type: function (o) {\n return Object.prototype.toString.call(o).slice(8, -1)\n },\n\n /**\n * Returns a unique number for the given object. Later calls will still return the same number.\n *\n * @param {Object} obj\n * @returns {number}\n */\n objId: function (obj) {\n if (!obj['__id']) {\n Object.defineProperty(obj, '__id', {value: ++uniqueId})\n }\n return obj['__id']\n },\n\n /**\n * Creates a deep clone of the given object.\n *\n * The main intended use of this function is to clone language definitions.\n *\n * @param {T} o\n * @param {Record<number, any>} [visited]\n * @returns {T}\n * @template T\n */\n clone: function deepClone(o, visited) {\n visited = visited || {}\n\n var clone\n var id\n switch (_.util.type(o)) {\n case 'Object':\n id = _.util.objId(o)\n if (visited[id]) {\n return visited[id]\n }\n clone = /** @type {Record<string, any>} */ ({})\n visited[id] = clone\n\n for (var key in o) {\n if (o.hasOwnProperty(key)) {\n clone[key] = deepClone(o[key], visited)\n }\n }\n\n return /** @type {any} */ (clone)\n\n case 'Array':\n id = _.util.objId(o)\n if (visited[id]) {\n return visited[id]\n }\n clone = []\n visited[id] = clone\n\n o.forEach(function (v, i) {\n clone[i] = deepClone(v, visited)\n })\n\n return /** @type {any} */ (clone)\n\n default:\n return o\n }\n }\n },\n\n /**\n * This namespace contains all currently loaded languages and the some helper functions to create and modify languages.\n *\n * @namespace\n * @memberof Prism\n * @public\n */\n languages: {\n /**\n * The grammar for plain, unformatted text.\n */\n plain: plainTextGrammar,\n plaintext: plainTextGrammar,\n text: plainTextGrammar,\n txt: plainTextGrammar,\n\n /**\n * Creates a deep copy of the language with the given id and appends the given tokens.\n *\n * If a token in `redef` also appears in the copied language, then the existing token in the copied language\n * will be overwritten at its original position.\n *\n * ## Best practices\n *\n * Since the position of overwriting tokens (token in `redef` that overwrite tokens in the copied language)\n * doesn't matter, they can technically be in any order. However, this can be confusing to others that trying to\n * understand the language definition because, normally, the order of tokens matters in Prism grammars.\n *\n * Therefore, it is encouraged to order overwriting tokens according to the positions of the overwritten tokens.\n * Furthermore, all non-overwriting tokens should be placed after the overwriting ones.\n *\n * @param {string} id The id of the language to extend. This has to be a key in `Prism.languages`.\n * @param {Grammar} redef The new tokens to append.\n * @returns {Grammar} The new language created.\n * @public\n * @example\n * Prism.languages['css-with-colors'] = Prism.languages.extend('css', {\n * // Prism.languages.css already has a 'comment' token, so this token will overwrite CSS' 'comment' token\n * // at its original position\n * 'comment': { ... },\n * // CSS doesn't have a 'color' token, so this token will be appended\n * 'color': /\\b(?:red|green|blue)\\b/\n * });\n */\n extend: function (id, redef) {\n var lang = _.util.clone(_.languages[id])\n\n for (var key in redef) {\n lang[key] = redef[key]\n }\n\n return lang\n },\n\n /**\n * Inserts tokens _before_ another token in a language definition or any other grammar.\n *\n * ## Usage\n *\n * This helper method makes it easy to modify existing languages. For example, the CSS language definition\n * not only defines CSS highlighting for CSS documents, but also needs to define highlighting for CSS embedded\n * in HTML through `<style>` elements. To do this, it needs to modify `Prism.languages.markup` and add the\n * appropriate tokens. However, `Prism.languages.markup` is a regular JavaScript object literal, so if you do\n * this:\n *\n * ```js\n * Prism.languages.markup.style = {\n * // token\n * };\n * ```\n *\n * then the `style` token will be added (and processed) at the end. `insertBefore` allows you to insert tokens\n * before existing tokens. For the CSS example above, you would use it like this:\n *\n * ```js\n * Prism.languages.insertBefore('markup', 'cdata', {\n * 'style': {\n * // token\n * }\n * });\n * ```\n *\n * ## Special cases\n *\n * If the grammars of `inside` and `insert` have tokens with the same name, the tokens in `inside`'s grammar\n * will be ignored.\n *\n * This behavior can be used to insert tokens after `before`:\n *\n * ```js\n * Prism.languages.insertBefore('markup', 'comment', {\n * 'comment': Prism.languages.markup.comment,\n * // tokens after 'comment'\n * });\n * ```\n *\n * ## Limitations\n *\n * The main problem `insertBefore` has to solve is iteration order. Since ES2015, the iteration order for object\n * properties is guaranteed to be the insertion order (except for integer keys) but some browsers behave\n * differently when keys are deleted and re-inserted. So `insertBefore` can't be implemented by temporarily\n * deleting properties which is necessary to insert at arbitrary positions.\n *\n * To solve this problem, `insertBefore` doesn't actually insert the given tokens into the target object.\n * Instead, it will create a new object and replace all references to the target object with the new one. This\n * can be done without temporarily deleting properties, so the iteration order is well-defined.\n *\n * However, only references that can be reached from `Prism.languages` or `insert` will be replaced. I.e. if\n * you hold the target object in a variable, then the value of the variable will not change.\n *\n * ```js\n * var oldMarkup = Prism.languages.markup;\n * var newMarkup = Prism.languages.insertBefore('markup', 'comment', { ... });\n *\n * assert(oldMarkup !== Prism.languages.markup);\n * assert(newMarkup === Prism.languages.markup);\n * ```\n *\n * @param {string} inside The property of `root` (e.g. a language id in `Prism.languages`) that contains the\n * object to be modified.\n * @param {string} before The key to insert before.\n * @param {Grammar} insert An object containing the key-value pairs to be inserted.\n * @param {Object<string, any>} [root] The object containing `inside`, i.e. the object that contains the\n * object to be modified.\n *\n * Defaults to `Prism.languages`.\n * @returns {Grammar} The new grammar object.\n * @public\n */\n insertBefore: function (inside, before, insert, root) {\n root = root || /** @type {any} */ (_.languages)\n var grammar = root[inside]\n /** @type {Grammar} */\n var ret = {}\n\n for (var token in grammar) {\n if (grammar.hasOwnProperty(token)) {\n if (token == before) {\n for (var newToken in insert) {\n if (insert.hasOwnProperty(newToken)) {\n ret[newToken] = insert[newToken]\n }\n }\n }\n\n // Do not insert token which also occur in insert. See #1525\n if (!insert.hasOwnProperty(token)) {\n ret[token] = grammar[token]\n }\n }\n }\n\n var old = root[inside]\n root[inside] = ret\n\n // Update references in other language definitions\n _.languages.DFS(_.languages, function (key, value) {\n if (value === old && key != inside) {\n this[key] = ret\n }\n })\n\n return ret\n },\n\n // Traverse a language definition with Depth First Search\n DFS: function DFS(o, callback, type, visited) {\n visited = visited || {}\n\n var objId = _.util.objId\n\n for (var i in o) {\n if (o.hasOwnProperty(i)) {\n callback.call(o, i, o[i], type || i)\n\n var property = o[i]\n var propertyType = _.util.type(property)\n\n if (propertyType === 'Object' && !visited[objId(property)]) {\n visited[objId(property)] = true\n DFS(property, callback, null, visited)\n } else if (propertyType === 'Array' && !visited[objId(property)]) {\n visited[objId(property)] = true\n DFS(property, callback, i, visited)\n }\n }\n }\n }\n },\n\n plugins: {},\n\n /**\n * Low-level function, only use if you know what you’re doing. It accepts a string of text as input\n * and the language definitions to use, and returns a string with the HTML produced.\n *\n * The following hooks will be run:\n * 1. `before-tokenize`\n * 2. `after-tokenize`\n * 3. `wrap`: On each {@link Token}.\n *\n * @param {string} text A string with the code to be highlighted.\n * @param {Grammar} grammar An object containing the tokens to use.\n *\n * Usually a language definition like `Prism.languages.markup`.\n * @param {string} language The name of the language definition passed to `grammar`.\n * @returns {string} The highlighted HTML.\n * @memberof Prism\n * @public\n * @example\n * Prism.highlight('var foo = true;', Prism.languages.javascript, 'javascript');\n */\n highlight: function (text, grammar, language) {\n var env = {\n code: text,\n grammar: grammar,\n language: language\n }\n _.hooks.run('before-tokenize', env)\n if (!env.grammar) {\n throw new Error('The language \"' + env.language + '\" has no grammar.')\n }\n env.tokens = _.tokenize(env.code, env.grammar)\n _.hooks.run('after-tokenize', env)\n return Token.stringify(_.util.encode(env.tokens), env.language)\n },\n\n /**\n * This is the heart of Prism, and the most low-level function you can use. It accepts a string of text as input\n * and the language definitions to use, and returns an array with the tokenized code.\n *\n * When the language definition includes nested tokens, the function is called recursively on each of these tokens.\n *\n * This method could be useful in other contexts as well, as a very crude parser.\n *\n * @param {string} text A string with the code to be highlighted.\n * @param {Grammar} grammar An object containing the tokens to use.\n *\n * Usually a language definition like `Prism.languages.markup`.\n * @returns {TokenStream} An array of strings and tokens, a token stream.\n * @memberof Prism\n * @public\n * @example\n * let code = `var foo = 0;`;\n * let tokens = Prism.tokenize(code, Prism.languages.javascript);\n * tokens.forEach(token => {\n * if (token instanceof Prism.Token && token.type === 'number') {\n * console.log(`Found numeric literal: ${token.content}`);\n * }\n * });\n */\n tokenize: function (text, grammar) {\n var rest = grammar.rest\n if (rest) {\n for (var token in rest) {\n grammar[token] = rest[token]\n }\n\n delete grammar.rest\n }\n\n var tokenList = new LinkedList()\n addAfter(tokenList, tokenList.head, text)\n\n matchGrammar(text, tokenList, grammar, tokenList.head, 0)\n\n return toArray(tokenList)\n },\n\n /**\n * @namespace\n * @memberof Prism\n * @public\n */\n hooks: {\n all: {},\n\n /**\n * Adds the given callback to the list of callbacks for the given hook.\n *\n * The callback will be invoked when the hook it is registered for is run.\n * Hooks are usually directly run by a highlight function but you can also run hooks yourself.\n *\n * One callback function can be registered to multiple hooks and the same hook multiple times.\n *\n * @param {string} name The name of the hook.\n * @param {HookCallback} callback The callback function which is given environment variables.\n * @public\n */\n add: function (name, callback) {\n var hooks = _.hooks.all\n\n hooks[name] = hooks[name] || []\n\n hooks[name].push(callback)\n },\n\n /**\n * Runs a hook invoking all registered callbacks with the given environment variables.\n *\n * Callbacks will be invoked synchronously and in the order in which they were registered.\n *\n * @param {string} name The name of the hook.\n * @param {Object<string, any>} env The environment variables of the hook passed to all callbacks registered.\n * @public\n */\n run: function (name, env) {\n var callbacks = _.hooks.all[name]\n\n if (!callbacks || !callbacks.length) {\n return\n }\n\n for (var i = 0, callback; (callback = callbacks[i++]); ) {\n callback(env)\n }\n }\n },\n\n Token: Token\n}\n\n// Typescript note:\n// The following can be used to import the Token type in JSDoc:\n//\n// @typedef {InstanceType<import(\"./prism-core\")[\"Token\"]>} Token\n\n/**\n * Creates a new token.\n *\n * @param {string} type See {@link Token#type type}\n * @param {string | TokenStream} content See {@link Token#content content}\n * @param {string|string[]} [alias] The alias(es) of the token.\n * @param {string} [matchedStr=\"\"] A copy of the full string this token was created from.\n * @class\n * @global\n * @public\n */\nfunction Token(type, content, alias, matchedStr) {\n /**\n * The type of the token.\n *\n * This is usually the key of a pattern in a {@link Grammar}.\n *\n * @type {string}\n * @see GrammarToken\n * @public\n */\n this.type = type\n /**\n * The strings or tokens contained by this token.\n *\n * This will be a token stream if the pattern matched also defined an `inside` grammar.\n *\n * @type {string | TokenStream}\n * @public\n */\n this.content = content\n /**\n * The alias(es) of the token.\n *\n * @type {string|string[]}\n * @see GrammarToken\n * @public\n */\n this.alias = alias\n // Copy of the full string this token was created from\n this.length = (matchedStr || '').length | 0\n}\n\n/**\n * A token stream is an array of strings and {@link Token Token} objects.\n *\n * Token streams have to fulfill a few properties that are assumed by most functions (mostly internal ones) that process\n * them.\n *\n * 1. No adjacent strings.\n * 2. No empty strings.\n *\n * The only exception here is the token stream that only contains the empty string and nothing else.\n *\n * @typedef {Array<string | Token>} TokenStream\n * @global\n * @public\n */\n\n/**\n * @param {RegExp} pattern\n * @param {number} pos\n * @param {string} text\n * @param {boolean} lookbehind\n * @returns {RegExpExecArray | null}\n */\nfunction matchPattern(pattern, pos, text, lookbehind) {\n pattern.lastIndex = pos\n var match = pattern.exec(text)\n if (match && lookbehind && match[1]) {\n // change the match to remove the text matched by the Prism lookbehind group\n var lookbehindLength = match[1].length\n match.index += lookbehindLength\n match[0] = match[0].slice(lookbehindLength)\n }\n return match\n}\n\n/**\n * @param {string} text\n * @param {LinkedList<string | Token>} tokenList\n * @param {any} grammar\n * @param {LinkedListNode<string | Token>} startNode\n * @param {number} startPos\n * @param {RematchOptions} [rematch]\n * @returns {void}\n * @private\n *\n * @typedef RematchOptions\n * @property {string} cause\n * @property {number} reach\n */\nfunction matchGrammar(text, tokenList, grammar, startNode, startPos, rematch) {\n for (var token in grammar) {\n if (!grammar.hasOwnProperty(token) || !grammar[token]) {\n continue\n }\n\n var patterns = grammar[token]\n patterns = Array.isArray(patterns) ? patterns : [patterns]\n\n for (var j = 0; j < patterns.length; ++j) {\n if (rematch && rematch.cause == token + ',' + j) {\n return\n }\n\n var patternObj = patterns[j]\n var inside = patternObj.inside\n var lookbehind = !!patternObj.lookbehind\n var greedy = !!patternObj.greedy\n var alias = patternObj.alias\n\n if (greedy && !patternObj.pattern.global) {\n // Without the global flag, lastIndex won't work\n var flags = patternObj.pattern.toString().match(/[imsuy]*$/)[0]\n patternObj.pattern = RegExp(patternObj.pattern.source, flags + 'g')\n }\n\n /** @type {RegExp} */\n var pattern = patternObj.pattern || patternObj\n\n for (\n // iterate the token list and keep track of the current token/string position\n var currentNode = startNode.next, pos = startPos;\n currentNode !== tokenList.tail;\n pos += currentNode.value.length, currentNode = currentNode.next\n ) {\n if (rematch && pos >= rematch.reach) {\n break\n }\n\n var str = currentNode.value\n\n if (tokenList.length > text.length) {\n // Something went terribly wrong, ABORT, ABORT!\n return\n }\n\n if (str instanceof Token) {\n continue\n }\n\n var removeCount = 1 // this is the to parameter of removeBetween\n var match\n\n if (greedy) {\n match = matchPattern(pattern, pos, text, lookbehind)\n if (!match || match.index >= text.length) {\n break\n }\n\n var from = match.index\n var to = match.index + match[0].length\n var p = pos\n\n // find the node that contains the match\n p += currentNode.value.length\n while (from >= p) {\n currentNode = currentNode.next\n p += currentNode.value.length\n }\n // adjust pos (and p)\n p -= currentNode.value.length\n pos = p\n\n // the current node is a Token, then the match starts inside another Token, which is invalid\n if (currentNode.value instanceof Token) {\n continue\n }\n\n // find the last node which is affected by this match\n for (\n var k = currentNode;\n k !== tokenList.tail && (p < to || typeof k.value === 'string');\n k = k.next\n ) {\n removeCount++\n p += k.value.length\n }\n removeCount--\n\n // replace with the new match\n str = text.slice(pos, p)\n match.index -= pos\n } else {\n match = matchPattern(pattern, 0, str, lookbehind)\n if (!match) {\n continue\n }\n }\n\n // eslint-disable-next-line no-redeclare\n var from = match.index\n var matchStr = match[0]\n var before = str.slice(0, from)\n var after = str.slice(from + matchStr.length)\n\n var reach = pos + str.length\n if (rematch && reach > rematch.reach) {\n rematch.reach = reach\n }\n\n var removeFrom = currentNode.prev\n\n if (before) {\n removeFrom = addAfter(tokenList, removeFrom, before)\n pos += before.length\n }\n\n removeRange(tokenList, removeFrom, removeCount)\n\n var wrapped = new Token(\n token,\n inside ? _.tokenize(matchStr, inside) : matchStr,\n alias,\n matchStr\n )\n currentNode = addAfter(tokenList, removeFrom, wrapped)\n\n if (after) {\n addAfter(tokenList, currentNode, after)\n }\n\n if (removeCount > 1) {\n // at least one Token object was removed, so we have to do some rematching\n // this can only happen if the current pattern is greedy\n\n /** @type {RematchOptions} */\n var nestedRematch = {\n cause: token + ',' + j,\n reach: reach\n }\n matchGrammar(\n text,\n tokenList,\n grammar,\n currentNode.prev,\n pos,\n nestedRematch\n )\n\n // the reach might have been extended because of the rematching\n if (rematch && nestedRematch.reach > rematch.reach) {\n rematch.reach = nestedRematch.reach\n }\n }\n }\n }\n }\n}\n\n/**\n * @typedef LinkedListNode\n * @property {T} value\n * @property {LinkedListNode<T> | null} prev The previous node.\n * @property {LinkedListNode<T> | null} next The next node.\n * @template T\n * @private\n */\n\n/**\n * @template T\n * @private\n */\nfunction LinkedList() {\n /** @type {LinkedListNode<T>} */\n var head = {value: null, prev: null, next: null}\n /** @type {LinkedListNode<T>} */\n var tail = {value: null, prev: head, next: null}\n head.next = tail\n\n /** @type {LinkedListNode<T>} */\n this.head = head\n /** @type {LinkedListNode<T>} */\n this.tail = tail\n this.length = 0\n}\n\n/**\n * Adds a new node with the given value to the list.\n *\n * @param {LinkedList<T>} list\n * @param {LinkedListNode<T>} node\n * @param {T} value\n * @returns {LinkedListNode<T>} The added node.\n * @template T\n */\nfunction addAfter(list, node, value) {\n // assumes that node != list.tail && values.length >= 0\n var next = node.next\n\n var newNode = {value: value, prev: node, next: next}\n node.next = newNode\n next.prev = newNode\n list.length++\n\n return newNode\n}\n/**\n * Removes `count` nodes after the given node. The given node will not be removed.\n *\n * @param {LinkedList<T>} list\n * @param {LinkedListNode<T>} node\n * @param {number} count\n * @template T\n */\nfunction removeRange(list, node, count) {\n var next = node.next\n for (var i = 0; i < count && next !== list.tail; i++) {\n next = next.next\n }\n node.next = next\n next.prev = node\n list.length -= i\n}\n/**\n * @param {LinkedList<T>} list\n * @returns {T[]}\n * @template T\n */\nfunction toArray(list) {\n var array = []\n var node = list.head.next\n while (node !== list.tail) {\n array.push(node.value)\n node = node.next\n }\n return array\n}\n\nexport const Prism = _\n\n// some additional documentation/types\n\n/**\n * The expansion of a simple `RegExp` literal to support additional properties.\n *\n * @typedef GrammarToken\n * @property {RegExp} pattern The regular expression of the token.\n * @property {boolean} [lookbehind=false] If `true`, then the first capturing group of `pattern` will (effectively)\n * behave as a lookbehind group meaning that the captured text will not be part of the matched text of the new token.\n * @property {boolean} [greedy=false] Whether the token is greedy.\n * @property {string|string[]} [alias] An optional alias or list of aliases.\n * @property {Grammar} [inside] The nested grammar of this token.\n *\n * The `inside` grammar will be used to tokenize the text value of each token of this kind.\n *\n * This can be used to make nested and even recursive language definitions.\n *\n * Note: This can cause infinite recursion. Be careful when you embed different languages or even the same language into\n * each another.\n * @global\n * @public\n */\n\n/**\n * @typedef Grammar\n * @type {Object<string, RegExp | GrammarToken | Array<RegExp | GrammarToken>>}\n * @property {Grammar} [rest] An optional grammar object that will be appended to this grammar.\n * @global\n * @public\n */\n\n/**\n * A function which will invoked after an element was successfully highlighted.\n *\n * @callback HighlightCallback\n * @param {Element} element The element successfully highlighted.\n * @returns {void}\n * @global\n * @public\n */\n\n/**\n * @callback HookCallback\n * @param {Object<string, any>} env The environment variables of the hook.\n * @returns {void}\n * @global\n * @public\n */\n","/**\n * @typedef _Token A hidden Prism token\n * @property {string} type\n * @property {string} content\n * @property {string} alias\n * @property {number} length\n *\n * @typedef _Env A hidden Prism environment\n * @property {string} type\n * @property {string} tag\n * @property {Text|RefractorElement|Array<Text|RefractorElement>} content\n * @property {Array<string>} classes\n * @property {Record<string, string>} attributes\n * @property {string} language\n *\n * @typedef {import('hast').Root} Root\n * @typedef {import('hast').Element} Element\n * @typedef {import('hast').Text} Text\n * @typedef {Omit<Element, 'children'> & {children: Array<RefractorElement|Text>}} RefractorElement\n * @typedef {Omit<Root, 'children'> & {children: Array<RefractorElement|Text>}} RefractorRoot\n *\n * @typedef {import('prismjs').Languages} Languages\n * @typedef {import('prismjs').Grammar} Grammar Whatever this is, Prism handles it.\n * @typedef {((prism: unknown) => void) & {displayName: string, aliases?: Array<string>}} Syntax A refractor syntax function\n *\n * @typedef Refractor Virtual syntax highlighting\n * @property {highlight} highlight\n * @property {alias} alias\n * @property {register} register\n * @property {registered} registered\n * @property {listLanguages} listLanguages\n * @property {Languages} languages\n */\n\n// Load all stuff in `prism.js` itself, except for `prism-file-highlight.js`.\n// The wrapped non-leaky grammars are loaded instead of Prism’s originals.\nimport {h} from 'hastscript'\nimport {parseEntities} from 'parse-entities'\nimport {Prism} from './prism-core.js'\n\nconst own = {}.hasOwnProperty\n\n// Inherit.\nfunction Refractor() {}\n\nRefractor.prototype = Prism\n\n/** @type {Refractor} */\n// @ts-expect-error: TS is wrong.\nexport const refractor = new Refractor()\n\n// Create.\nrefractor.highlight = highlight\nrefractor.register = register\nrefractor.alias = alias\nrefractor.registered = registered\nrefractor.listLanguages = listLanguages\n\n// @ts-expect-error Overwrite Prism.\nrefractor.util.encode = encode\n// @ts-expect-error Overwrite Prism.\nrefractor.Token.stringify = stringify\n\n/**\n * Highlight `value` (code) as `language` (programming language).\n *\n * @param {string} value\n * Code to highlight.\n * @param {string|Grammar} language\n * Programming language name, alias, or grammar.\n * @returns {RefractorRoot}\n * Node representing highlighted code.\n */\nfunction highlight(value, language) {\n if (typeof value !== 'string') {\n throw new TypeError('Expected `string` for `value`, got `' + value + '`')\n }\n\n /** @type {Grammar} */\n let grammar\n /** @type {string|undefined} */\n let name\n\n // `name` is a grammar object.\n // This was called internally by Prism.js before 1.28.0.\n /* c8 ignore next 2 */\n if (language && typeof language === 'object') {\n grammar = language\n } else {\n name = language\n\n if (typeof name !== 'string') {\n throw new TypeError('Expected `string` for `name`, got `' + name + '`')\n }\n\n if (own.call(refractor.languages, name)) {\n grammar = refractor.languages[name]\n } else {\n throw new Error('Unknown language: `' + name + '` is not registered')\n }\n }\n\n return {\n type: 'root',\n // @ts-expect-error: we hacked Prism to accept and return the things we want.\n children: Prism.highlight.call(refractor, value, grammar, name)\n }\n}\n\n/**\n * Register a syntax.\n *\n * @param {Syntax} syntax\n * Language function made for refractor, as in, the files in\n * `refractor/lang/*.js`.\n * @returns {void}\n */\nfunction register(syntax) {\n if (typeof syntax !== 'function' || !syntax.displayName) {\n throw new Error('Expected `function` for `syntax`, got `' + syntax + '`')\n }\n\n // Do not duplicate registrations.\n if (!own.call(refractor.languages, syntax.displayName)) {\n syntax(refractor)\n }\n}\n\n/**\n * Register aliases for already registered languages.\n *\n * @param {Record<string, string|Array<string>>|string} language\n * @param {string|Array<string>} [alias]\n * @returns {void}\n */\nfunction alias(language, alias) {\n const languages = refractor.languages\n /** @type {Record<string, string|Array<string>>} */\n let map = {}\n\n if (typeof language === 'string') {\n if (alias) {\n map[language] = alias\n }\n } else {\n map = language\n }\n\n /** @type {string} */\n let key\n\n for (key in map) {\n if (own.call(map, key)) {\n const value = map[key]\n const list = typeof value === 'string' ? [value] : value\n let index = -1\n\n while (++index < list.length) {\n languages[list[index]] = languages[key]\n }\n }\n }\n}\n\n/**\n * Check whether an `alias` or `language` is registered.\n *\n * @param {string} aliasOrLanguage\n * @returns {boolean}\n */\nfunction registered(aliasOrLanguage) {\n if (typeof aliasOrLanguage !== 'string') {\n throw new TypeError(\n 'Expected `string` for `aliasOrLanguage`, got `' + aliasOrLanguage + '`'\n )\n }\n\n return own.call(refractor.languages, aliasOrLanguage)\n}\n\n/**\n * List all registered languages (names and aliases).\n *\n * @returns {Array<string>}\n */\nfunction listLanguages() {\n const languages = refractor.languages\n /** @type {Array<string>} */\n const list = []\n /** @type {string} */\n let language\n\n for (language in languages) {\n if (\n own.call(languages, language) &&\n typeof languages[language] === 'object'\n ) {\n list.push(language)\n }\n }\n\n return list\n}\n\n/**\n * @param {string|_Token|Array<string|_Token>} value\n * @param {string} language\n * @returns {Text|RefractorElement|Array<Text|RefractorElement>}\n */\nfunction stringify(value, language) {\n if (typeof value === 'string') {\n return {type: 'text', value}\n }\n\n if (Array.isArray(value)) {\n /** @type {Array<Text|RefractorElement>} */\n const result = []\n let index = -1\n\n while (++index < value.length) {\n if (\n value[index] !== '' &&\n value[index] !== null &&\n value[index] !== undefined\n ) {\n // @ts-expect-error Assume no sub-arrays.\n result.push(stringify(value[index], language))\n }\n }\n\n return result\n }\n\n /** @type {_Env} */\n const env = {\n type: value.type,\n content: stringify(value.content, language),\n tag: 'span',\n classes: ['token', value.type],\n attributes: {},\n language\n }\n\n if (value.alias) {\n env.classes.push(\n ...(typeof value.alias === 'string' ? [value.alias] : value.alias)\n )\n }\n\n // @ts-expect-error Prism.\n refractor.hooks.run('wrap', env)\n\n // @ts-expect-error Hush, it’s fine.\n return h(\n env.tag + '.' + env.classes.join('.'),\n attributes(env.attributes),\n env.content\n )\n}\n\n/**\n * @template {unknown} T\n * @param {T} tokens\n * @returns {T}\n */\nfunction encode(tokens) {\n return tokens\n}\n\n/**\n * @param {Record<string, string>} attrs\n * @returns {Record<string, string>}\n */\nfunction attributes(attrs) {\n /** @type {string} */\n let key\n\n for (key in attrs) {\n if (own.call(attrs, key)) {\n attrs[key] = parseEntities(attrs[key])\n }\n }\n\n return attrs\n}\n"],"names":["r","Prism","languages","comment","string","pattern","greedy","alias","boolean","ellipsis","number","keyword","operator","punctuation","displayName","aliases","regex","specialEscape","escape","rangeChar","source","range","RegExp","groupName","lookbehind","inside","backreference","anchor","group","quantifier","alternation","ruby","register","refractorClike","extend","insertBefore","interpolation","content","delimiter","function","percentExpression","join","symbolName","variable","symbol","command","builtin","constant","rb","rust","multilineComment","i","replace","char","attribute","rest","macro","namespace","sass","refractorCss","atrule","property","important","selector","scheme","grammar","key","trim","SortedBNF","identifier","scss","rule","url","parent","placeholder","statement","null","sql","swift","directive","literal","label","nil","omit","forEach","typescript","refractorJavascript","push","typeInside","decorator","at","generic","ts","vbnet","refractorBasic","yaml","anchorOrAlias","tag","properties","plainKey","createValuePattern","value","flags","scalar","datetime","yml","textile","refractorMarkup","modifierRegex","parenthesesRegex","withModifier","modifierTokens","css","lang","phrase","modifier","list","table","inline","bold","italic","cite","code","inserted","deleted","span","link","text","image","footnote","acronym","mark","phraseInside","nestedPatterns","phraseInlineInside","phraseTableInside","uri","fragment","query","pair","authority","port","host","path","scala","refractorJava","id","expression","stylus","unit","func","hexcode","color","entity","twig","refractorMarkupTemplating","hooks","add","env","language","buildPlaceholders","tokenizePlaceholders","qsharp","replacements","m","index","re","keywords","qualifiedName","regularString","interpolationExpr","depthLog2","nested","qs","q","adverb","verb","qml","jsString","jsComment","jsExpr","javascript","qore","racket","refractorScheme","rkt","tsx","refractorJsx","refractorTypescript","util","clone","reason","constructor","rego","renpy","rpy","rescript","res","substitution","title","hr","field","role","rip","date","time","reference","roboconf","component","optional","wildcard","robotframework","createSection","name","extendecInside","token","docTag","testNameLike","testPropertyLike","settings","documentation","variables","tasks","robot","sas","stringPattern","numericConstant","macroVariable","macroKeyword","step","args","arg","format","equals","altformat","globalStatements","submitStatement","actionSets","casActions","action","argument","datalines","groovy","lua","input","shellSession","refractorBash","strings","info","user","bash","output","smali","smalltalk","smarty","php","smartyPattern","smartyLiteralMode","match","sml","word","smlnj","solidity","version","sol","solutionFile","guid","object","soy","numberPattern","parameter","soyLitteralMode","turtle","prefix","trig","sparql","refractorTurtle","rq","splunkSpl","sqf","squirrel","clike","lambda","stan","higherOrderFunctions","constraint","stata","refractorMata","refractorPython","mata","java","python","supercollider","sclang","systemd","quotesSource","section","quoted","t4Templating","createBlock","contentAlias","Object","defineProperty","insideLang","className","block","standard","t4Cs","refractorCsharp","refractorT4Templating","t4","createT4","t4Vb","refractorVbnet","tap","refractorYaml","fail","pass","pragma","bailout","plan","subtest","yamlish","tcl","scope","tt2","toml","insertKey","tremor","extractor","interpolationPattern","troy","trickle","typoscript","tsconfig","unrealscript","category","metadata","uc","uscript","uorazor","v","vala","velocity","unparsed","verilog","vhdl","vim","visualBasic","vb","vba","warpscript","wasm","webIdl","type","wgsl","attributes","functions","wiki","heading","emphasis","markup","nowiki","wolfram","context","blank","mathematica","wl","nb","wren","hashbang","xeora","xeoracube","xmlDoc","insertDocComment","docComment","slashDocComment","tickDocComment","xojo","xquery","extension","axis","stringifyToken","map","walkTokens","tokens","openedTags","length","notTagNorBrace","tagName","pop","openedBraces","plainText","splice","test","Token","yang","zig","str","IDENTIFIER","ALIGN","TYPE","obj","refractor","abap","abnf","actionscript","ada","agda","al","antlr4","apacheconf","apex","apl","applescript","aql","c","cpp","arduino","arff","armasm","markdown","arturo","asciidoc","csharp","aspnet","asm6502","asmatmel","autohotkey","autoit","avisynth","avroIdl","awk","basic","batch","bbcode","bbj","bicep","birb","bison","bnf","bqn","brainfuck","brightscript","bro","bsl","cfscript","chaiscript","cil","cilkc","cilkcpp","clojure","cmake","cobol","coffeescript","concurnas","csp","cooklang","coq","crystal","cssExtras","csv","cue","cypher","d","dart","dataweave","dax","dhall","diff","markupTemplating","django","dnsZoneFile","docker","dot","ebnf","editorconfig","eiffel","ejs","elixir","elm","etlua","erb","erlang","excelFormula","fsharp","factor","$false","firestoreSecurityRules","flow","fortran","ftl","gml","gap","gcode","gdscript","gedcom","gettext","gherkin","git","glsl","gn","linkerScript","go","goModule","gradle","graphql","less","haml","handlebars","haskell","haxe","hcl","hlsl","hoon","hpkp","hsts","json","http","ichigojam","icon","icuMessageFormat","idris","ignore","inform7","ini","io","j","javadoclike","javadoc","javastacktrace","jexl","jolie","jq","jsTemplates","jsdoc","n4js","jsExtras","json5","jsonp","jsstacktrace","julia","keepalived","keyman","kotlin","kumir","kusto","latex","latte","lilypond","liquid","lisp","livescript","llvm","log","lolcode","magma","makefile","matlab","maxscript","mel","mermaid","metafont","mizar","mongodb","monkey","moonscript","n1ql","nand2tetrisHdl","naniscript","nasm","neon","nevod","nginx","nim","nix","nsis","objectivec","ocaml","odin","opencl","openqasm","oz","parigp","parser","pascal","pascaligo","psl","pcaxis","peoplecode","perl","phpdoc","phpExtras","plantUml","plsql","powerquery","powershell","processing","prolog","promql","protobuf","pug","puppet","pure","purebasic","purescript","cshtml","jsx","iecst","uniqueId","plainTextGrammar","_","o","prototype","toString","call","slice","objId","deepClone","visited","hasOwnProperty","plain","plaintext","txt","redef","before","insert","root","ret","newToken","old","DFS","this","callback","propertyType","plugins","highlight","run","Error","tokenize","stringify","encode","tokenList","LinkedList","addAfter","head","matchGrammar","array","node","next","tail","toArray","all","callbacks","matchedStr","matchPattern","pos","lastIndex","exec","lookbehindLength","startNode","startPos","rematch","patterns","Array","isArray","cause","patternObj","global","currentNode","reach","removeCount","from","to","p","k","matchStr","after","removeFrom","prev","removeRange","nestedRematch","newNode","count","own","Refractor","TypeError","children","syntax","registered","aliasOrLanguage","listLanguages","result","undefined","classes","h","attrs","parseEntities"],"sourceRoot":""}